From 764fd085285030826ff44c9b2cf9702699710357 Mon Sep 17 00:00:00 2001 From: Hendrik Langer Date: Mon, 12 Feb 2018 14:23:21 +0100 Subject: [PATCH] fix rotary sharing its pins --- alarm.mp3 | Bin 0 -> 19643 bytes alarm.wav | Bin 102098 -> 0 bytes platformio.ini | 3 ++- src/hardware.h | 12 ++++++------ src/main.cpp | 9 +++++++++ src/main.h | 1 + src/rotary.cpp | 10 ++++++---- src/screen.cpp | 3 +++ 8 files changed, 27 insertions(+), 11 deletions(-) create mode 100644 alarm.mp3 delete mode 100644 alarm.wav diff --git a/alarm.mp3 b/alarm.mp3 new file mode 100644 index 0000000000000000000000000000000000000000..1c13d71df380e9581b612986b47212c29cf9b378 GIT binary patch literal 19643 zcmd?w^-~*9*Z}YZcbDK!g9ivM#oZxTad&r0ad&rjE$*~<@!}3G?!{WHrM%@k^ZxSw z3-8X{WbTri>^+}6yO+J)m)}Xlfd5&u>$}~Jpe>RbaWgXA|f&}8X6i# zMpjlXEFI@qwYBZ-{r&xulgrDWKcAjnUjBWTfRebfG&j3|fE#f7f2%|g zM33kw0RRNO*2DT|xX1s#@&D!Z9fCH00gg z-5)<*o@n4gf;Pz_caM5{N@!_m878~Tj(RFz2LJnH_rD|m&Uq~bJO2a#z?Uo^lh?@m z`1l0=0RXA8eE!=Hy1M#L5E~o&qJsYa*f zU=YV9A_vDXeqkm~+hK^pZvUgtwJ|DxvDVVp78$GC&rTT#aXLM5GQ9T*7r*kw>X4Hw z>l&O5+YjYAFn>SNO2D?WGFI%OjyS{xCZPW&33YGsBnVzuf5DD*`#JN#6Fx zXE>MkU(pEo??*T&MnPN~dh+B<55SPJ71b4@MWkvF0N@$vG&(k=ocIR+!($cNjcY2U z$NJ;_$`U`DO?bxE+RM!?-X@2_NX!qSPX zJ2xkb9nje#oGLQOxw&UCmt7AtB||oc7xqV%dkQd-Gue)h)jQ$1I8lO z-OS*3+crI;mtEc`tV^b?Z%`mVCe|POJ{6=|QZ2^?%PiJM7r`%j-`WkIT~htoBzRx= z`Q`Fcv>)vw+h^}bx@5uZb{!S}k2H~RVYKlfWrXh#?=`Jx7~~*D!PG#F?`PIz5I7L2 zdP$OR9>_H~6hsauUe*!>ir4KY$1~sA5ko%iJ=WgL3B9Ji!ogvB#S&?S|0sA zLBf)#uyO+ZD`8Szjln8S#r8*j+m*J#;3xkdC1F%zc&SR4!0Ce#0;~T+;5Nby4T9 zy2Inj=U?nlF*PJssH6yhqGR-qfm=&7@6{!UUc}&3K)FG?( z!9S27uR=tC>~vz`i=A7Ehc{K8y`O9p%q18dw1||U#Y3v-GF5~&McFx&$*eB()u})= zXnL~XbvJXJ1k9Vs%@UV)FJNHzHv+7Il~qQU3#WJiEEn7L+f)634}lKek!RQpLNLfM zKSn$+R`Tx>cQaBkn{~eTfT{7YgTHw+6l=oU z=}7i4Ssw!6=v%F%E$pNGBp7ih$;C`0tYQ%AT{0vwGx;B65Hgm`)NwGTz3M(V$5s0) zIw^^9z{{1SbEk1JBB1fS!yJ*!ii%^Xc~;2I`GSM?wV=$$%wfC+a^Ea5umTH#Y@yBX zVo?0(9287+&eJ6M`Sr_VyQTSvdbF#uY9pisq=|UMh1(pJU%*I89O_3{s_6Lyr!)5$*fnff9d_H`c zqlfV%3DUR$%P%^yhNgoka7if2DH8?S^SROL>M{(CiXQLNkhWOdZEH*(ic^4-yXL{8 zkwJ+;wG5#Wrg^fN)E@9GlGW)Gos2UI!|>3}YXByIl8}{XlCGjFwF0GgkT%!6dizHb z3q#cgG&^4CTelIjXCHG1P^nssjT@sgu9^ruvjk0nitZVjvEt&D56gj+IoR2KpQk0Y zMn29-^EZ2(Z$@lD!}nln?j`cYVDsi3ln}^3kg|Lr2>fM{I;l~ez@GaOn^p5(m|Ej20$$U^IIe8%FX~ z(H`NVh}_LMd^ZGM1KyP|VtnurEsqW{Qdq7y1`cgLT0(VLoEC3X3qM^^>Xc!;JD>^| zO&jlzKi(mt>4SS}>Nsk)EtgYABk4$Z292Ksqhe-BI#Du$h>9v0HSI**uL zv$(QO(PNrTr(Co@6hB%rt?BlpG*iu;ULt@Xj ztz{elFf1|$mmlO>$-3%lBu`zX_}4`0IO>w8HI@sIq&}WzrxqH7hBAbN5Gsol(@*WQ zfch##3S7QGh1B=)4ql0tFO_1?X0X^o-iydHo9q`^o>*!O*So4qhEgOiWdF&clI}9{ z7h)W=cpEcH2X=fLSFFXUp6Zq$3oTJ_FS7>n)jxeR&DZBJEV~p#)%5MoIj+Q^>Z^Snk}jk z@)DJvYFwv6%8A%7Ka8>tBNM3;M1iTz-ucvhC6-N}{W)FfwES80>ZN8eszLVKukjg1 zJGYG{Z`!G`xx-6tL+DM6UiP8v=%32$=pcVnE^A1Q zP+0aV#2B2i1J4)Ngf9`@UO`xvu=Ym8UY~ZJucfmwNv@tu;p^f^f@gCp_vxP{(4`v{ zhl2VsEh>Oox-N+e#Ed}9MWsC%86$kADbF<&Cg+Qj%-46qo@@NMDffwkAD8+Ht>{bs z=-~R0)2(3eT4_O(9v&>1IH7>MgzN~o<@yaeX`j@=J1lz6H?J2^ZEpyaB_5c9d3BVP zMaZbMuF6c5(8T1*5|cRfA}pBTO@%JfEP^GzYTz)JQkJWj(CHzOL)5}((pbO5n8Iai zsR$stSDhQbq<7i0R+EqzPNPH5XN;#&K2Cfve)O~@*g>2!dSJWUO8j=Bp?6kfv=f7b z^8=yUl1cm9TLtkWL)K`-7-EdZGHjHhF!U+;+FuPA$ZBCSE)a`zFod4}y;tCmhMv=e zLaWHK9=g38u@pYFW`Wz%)8@hHR+}9Gwc@vKjgyM#HjpX-lo#1OA+`ZCS>Y(%CQuAP zI1S1qpV?Z`BUzKG=wBo0eBLcom680%N8d+!^VQ1RKg*i+D)>kKjCV)hxLMvmtr5FT z7Ddp%3aJ4WCXgW*5Z4f;f3HV~u+;jiK52#d?8i^m9lq7fckY)d;pBq6DuK%#uBBYz~{uT_9+HY&JwhV4?^XuO4{W6$0){O}VA5WW#j@eJW zeER(=6cD^{K*&`GSOx406qm^8JI`&ak&gclgeoxuMDoRF^!j zEUGdecdA3rso@>#>u<%1P}fznrz*>*WXrpEQh-sPux-5!^|F#I_1abvX*}gp8oK{6 zdJk#EF3w%>vkv4{FQTK5ZS7y|Wb~0fIK*OHHlOCpmEnfPC@X(kV0~YhYb4nOZg3q8X5SkPjPzcV=v5V%mEuccl$$rmdZULDT7lmH%h%8iq*LeIk zM7Swt6&L-99S?M}vqP@2O-+a*uE}|$JVtv?anQnw!LlE>ZXH#tjvn+~4DCtExpZUg zNRZ&zaHK%x;(jA7`smwpOW<92fXW)G*y!YZ7&Cew(szt9@WG0%Z6%=2_73KF{<&fZ zjS1yds1%q6edezho=b_OI(F^Iu?hOujEYZUaIZ=VoBxpLS7M|0^&`!d6tRuO=n8%>U@}Z2RBff}$_$H7KlW z&_ca(W_0bZ@yY&G^}WL0{EWljS_PutQJRPI9XXv_GbMWRF#s{F-g8>)J%0O!KiFg* z%^nD-Qr41j&FyMAfTS;t0^Mk=1pM+`l=PCpxoi%WS%u7roici6y6U-I!OWEAcz6^- zsHE~aoT2d!Ls(r(p6E7v&SEZuAyWs>KpE>ck7Myg+;fMcP~KR9AYuze3M4 zESJ^dxvh0Z<9cGv+zabrVtWw$#&9E*`qhOZ>NT1Gk#Z;J z+AVA5EtE7yE+FYZWE`=SRAZWaGzJg{H*JYcFzO2&eNGeK0D!P>GuOpH{mO%sjqy+g zcJnIqB{)4;Q@LIkHW*WQ(pFi)dCCKuMoKQ@(8Ie@Exz*OLKg5~us}g6MUV#!s%YY( z-Vll7l%p%S=%Ho}P}RH97qK?cR>c$9fM|Dl_B?Vf?7XqxzA^e!(aa|9v9vg;0M@lI z=uUcU?FyvsDwSTP@=rOXm*7dV%XexAtN_G`=-xU_f7fLx>z{I&4-Iw&V~c{7Vy$XG zYQw205nd)?oDiDS0hGd^9qB>ag$vHCjPN3w@myX$JOro2<12pO7pDf6H!87e{`~|* zwB)yM6b1Zl`7TDO#|1=@$V9L*8;)cf{vkLx5U!GmIjaJP+{QNWO+>MX~4W9_7wd9RV zQo21MVL6(JrJ`Xh^Fbf;yS($KC4pB9)^RF~5`(g1c>+Y?WF(BBaAHC=DDZtn!B6d%D1BiaL*3Vn!r9ZPMb&ZNwGla?8!K}x^MvMv>oL#66+lLP+8jVJ9#-g0JczB!#ARpWQQg@ zYEh^d{Ocr*7$HGFZ~3S}gdcNE`b_#OVn1~RC!#`<(UtRnI6N_62E_%8W)x&O*8xoW z2zW}7t|HvDR^GwX=&JH0auHH{A|gB{{t0@*iyOWgd6pMDw-1~MQbveEdOzq4*z`R) zR;fV013?`une&FQ$Hb*LP8p7>PB!k@f3aF2TS+ z;cj+RT94=#NWfdQVcr`Z%)WJJy}o2GIHmWJyJo{gH5E;|cA>Gma&;Y&3?}Bzbe*Aw zDosqkz%G^u;+l)H!vU_GLTsq|r&FN-mzI`bj+afZC=>uMy2G@mmHhG6m+X(|*p8Ru zO3}%*PKaPVu&7YQ|uW;s79Y|FWP6@ zCYHL_^ByU(z8~;FDn#_mlY90|U%h*|e471LQrb*%z96@f2j)ABPRrN-HT#-d)Ij5D)j6V;kT%RvR~?dKLBK*R zWnL`KU>ifavx@Kr;-(h;vDatAqfO7dx>CnHdy*vVMA{5%m=5eJOTcBY->klHVqLz_ za9>)ah~UQE-Sby6ihIk|tlYweg&M9Jv;eI$B%a8Z#s?vRp>zxs2qXYXStW~J&R_Vx zE&?=`JVt1!SiLM|J>a?*Xe%6t4Q^y<$y>B&QvE6bA@0h6ILL*>gpgjVZj2lxo`r6X zO7Sj3P?#1cOj4M5M@fBpxUp}Eg(e+3I=H2P!ZGev$iu7>LP%1^-JAg^sT8SS4q-4&bWsTefyhKDizZO6W5P4b^S*YfNf7hSS!nv3vpOjL27{86+l zTP!w?Z-lqr+NsXKwO{Vmvwlq7W2ep74S!p+Yh!DzMHzIey9-|Of=GU9uA_OvkkrKf zArVmKH8}Lei943!$=&L3dggm+{Ts@{_=6%I7K|7-6GUNXO%pHR5Pa-2$>aJev>TjO z9Q*DZq95AW&cxPRN}Xtpz$Z6h!cR@KNULU{qTPexIp>@$E_T}O#UZ&Fuv_1p^%=Dk z?4NgU`BZ5=NkkUWIu#e zo`tc^_;Ayhv=9YnzT*TfJ3?j-y#MSM!{vt33paxakimDH=}970V=_9^sFYn&@nJty zLc^=un(3QXSQId&KHMz^;;4Mzw#n{u{q^^n?Z*^T){nRyDPoBRuV6bgQb{z9{!hbh zHAs+uO6+*LTn|7~V|nITB|1t(g$QAB4C-D^*Glf2Q$m0Y6UM%SgNRW7(JXRqZ?PV&U8~bm`k;kBdIxy7sjte_Ao>u zO!NZL#j!Lok-S3CFa3UD(mOEYNdEUrZW&N`nl=XOQo4SAHBDx@?q`c9`@*m}XBTE+ zi#MCKj_^~1fU-5LNM3rMMNg9^>4MkyHhK7-JHXYxC8L|xw)x0lYTm{=5)g*`Dzpt; z57tq1Jm4Ps+zL+aj%4pS}^ zbrbW8B7UO^(fnYLX_PA+zyZL8$tbN*!w`Nfi2!LDvRn+AF`B$471*s@Iug)Ie;;iY z@@!z6vT$t+ju5+$@mGqdR#Tk)NzQ|5?`5KAC;o$Ms))e;{Or7d6x<9n$;QSb&W-%uEG!Y$+ zcPGwj%~joH8yXWXp*2Fi-yAz(@xx5tJ z%C}bfcly%b8Kc8|^?Fn2FY%9m5Yf_pgz$MLsZ8!`Jo3@aTQ9M0eZqF0j6Yo-2UFy*~tb`tF?}Kt~`r!`Nok)j+vRz>!mQc<{Q33vFwvc(k9?k{{#IO4AzwIZ{s3VVjwpLh8eFab zoDIU@Q3s&vADp!#dv7d-Na~tVoYnoV5F0aCQAyQD84XIwNZJd4T8wJEAn>9n ziI_D*=>q9@l^_kEkBLe5V}#Lb>(OLb&!rSGPq-3fN;%(=8WhK_22Q`tsOUD?4+<-5 z`Nm>9zkYrNInBp_SK8<(U)7T5@!KTvjLN%Pbm{wOe7fBsi%0-xuU*ZZ0c(H=;85~? z3el{YpW7QAw0vQH<=r0oTmWT3_+feLTY!`HuA z2|br9^t^!=MG^xrv$_&rYa%$quP=-_RscXcqeOz>5A*z7vrl0W>wwIXeJXXrI9n@f zqT&`@gNf$l9R9|6e3~tKbZYl09BvWF!{{!*7fgG&BAE>>h$ox5;In_mt57yziy~Vh z!DeMB^PkWZolP_%GuCGd=uMm_Gfoi%aMZsxVSA}CqyS{8rmMQq)N>mC>P@A`BmcY_ zv3_Q&jJVaY(d+`==M?;~Hdv|KeIKT9;ZCkMkJ!&rLhfg|nHa*gOdee=W1Ps;^>~l{ zyAeJhLu2ykcY232#_cz-4y<;gBjFcbZhcnK*~u4|Yd_`9O2M$Yq<8dD5|Fo5$-Lji zrdKlYp%44BoK@0z;mH4PhX8;N_|aP-M_NP_YNNYQpZT?|EXP_gqPWP_J(@T$X1;Jw zkHi%lHLgX(=CBcDY6Jt*$Y3!oTXNFL>p9&ip*rp_p?3m8bmP1^1CiB1PAU#L17|vy zlDcFwOBPGXTzD8Bo|9oMvF$;_Vkr899c}&$E7CIaQ6d?0$J^PBOs|>K2}7%(3+OnK z$U(T1P4!P2ZY4exLk;!IS!NI@8nCx1red_r3%)ykua$y>l zYMicN?SEh1-g7e2mN;Ckrh3nxyU2-^wjd^%gN> zk#iE1{b<_w4HSDY{r$^Y{d8M@1JSr&kT!pC2w@hBpjH=E%vb$`zsVFwI z?#o0*9Q?M>Z(&y_7;Oeg8ZJSkP%!B}ab&f91v6mJF!gVeJZjXs~4U1#-wR_x5D4mSss{)Ji1{UrPh+%H%D6GJN| zAfJhb5P|}){lE7D_+;n^pemu0{D$kENX9I%@9aU{pD%9#LWCtr@#W`gi4bfQxhBB8 z#z2Ax1a<5YQTJ`oz3f>g!OpCUQh!JLGnCMxbCgJvMbbR)zqu)n+cP}KVt+h5yzKn4cBsdGG$erUdoB}S z&OXP)_|@b<%fYSvCS-y-n7@1iyi}NTjYz{A--W2j)QgG7(+6jT$V#QZ3b_Vnv1O_| z_7O`Aa-DW%P>p{EoIL!v(2z3MAle_RFiRwAFNL`RS}9Ezq4ev$w*iHa_FoQNEPC;bq2_=6$tNoRe@ zVRY^)lPkE~sCzUFZ#pz2Z0XsDJJTg)s#(o#RPj?D&}k`+L%o9GTYWxW#6 z#7nIt#aagDF3Tq|`T3&=PeD^S0L-6fMh8niRN7Nll1e1(+upv?2syZ<2zE+%yU^Hj#?g?oz(Fe2g0;`YuO9Ow>B}-q00)d2&gqm?X z7jW7vXCe`_b7z_cqO8(YWuJ7*ag!V&H*Xa5)YYl|@ssgt7%03qxQPpjOR#)4E@N{8 zYtw!A_Ij)eW15=WZzsiD;R3d~*Qb$t7-FV(3GrVe3m`uo8bWPDHC?MZ1vQY)?1Y0{ z6=^mh(fQP-v?K$&{i!hQq-UpPOS%xcM!)AkEj>aVMLF)hl$-8uD;5lHF)~Jh{>*Vf z+A&lSVh=T>s%Dkzacmo*@ePucVRNR$trVz5#->heEu2L$U^Z>OlpY>2zEy5+krW-L z?k;#B4G|*Bz>@`Y9~np1)ze3c@aU3O?$wM>F{z%r>Blc#Vj!&0lL=2b-XotrH>e18+=;~qVR64k=U zb6G*iv~3uMnsuXE>7}4jOi8%B5O?j3TaSmuHSEh!ywu*tr@LhppqxX%12;uCZ~lE( zgdy~Lo;JSuM;VcPZ{}Fd>U)Qe$rv6TWz76FAf;92W;qt~Tqw-&Rj4GGaQ0C?UW9kh zhSKJ`vZBa&QK4KL=U;GvTuzZhu_YMgu!dSv4M{A0V~s5C5@leK5=|ZwJO^;Y()y#%`Qc>w3yDI zGe)J*uPH1w6=fJ5%91H1IQaJbvD%9g@hL=RJ%YJM4$mgR-8!S`8H$1RCxwDo3Bn5= z#Z~0K@l%cKaQUD8YxSD@fw&IdTAcV=(mgwv96A9XdXxuFvg8iiCGl=JBNV_}^1rt- zc~+;a4tS0|Ut-t~OFZtE-LRV+AI@SFYQ^)YzG^Rt=!zzQwICWn> z#cbNX=yUWqe|;(EN8|#_w2OXr%f332{~}|~F`UWeLkFvIfZ31eytFhJ7rdln{EHKM z3<6tb@kh}b60DCFe8^;PKp+@`6=_a#ziIJE3qc-7msgcsXn#K&@X8-PDyyDF!u1PW zP?32Nc-L%Tn3$wtbJ;18tJeT55!0mut=)e;@7h)1|zs z&jWupD+;k0M8N^XsezWQ^15;3?!^2A1YAfRI$aUKU>UXGXpoCQ9s$WnaU>E5Y%iM1 zf5Skk>C!)pPdgmlu5J0JSu&XP*rd#>w*uxhnQ}g@NUZc>$};EQV`oe_+gUOSe_Jzx z8AZ>LBq?+`%eIjepPzr+Zm&qlV`g+!;?KCsq*Ei(MvlMxSvUfJ|NmwUKn3Zmp>OB8e_@9 z%l0u*)p#D+<|(JcyV$Q#{*aNvSzPoqwIsco&@Q>CS`M_(@Ty zmXWO;J3D5uIc3n4ZF=0}6e%}|^+t+SFANga#n2niPI(o>#;8|fv1p6jEe@6_C3l=k z!MP!K$GVMMzK)pTVOzcUAn+jCn4s`Mrpjb;UP{3CHy+%+U2rtXcA_=Q4|6r_d{~lk z^F@oM67zG)Oc0_zIRZQo3jm&Sr9YZIr$P4^+;JR7r7>*^HUYaJVaxzAObi#_XR`|B z#w4;t1Db?XNjyW~GOxs#n`RUbL^A$xn|>TzjBDUQ9ASYhnV}6+>#6NFPI;(ZH>Vv< zDy34H`#fCyLP!u4Ri+;&}yLbVmEGdMtD8^Su(Kh+~M5h43$CZ#7!N!KK&yM(G3 zpC>?n$4t7y9tQxIO;r>Rg5i4ejI=IOhecU4RW!+lV-Wh_exN^@S(QbtTO%YeTuc^5 z0XWsq;0zf;6@#+i9%e$Aq*V=Hf_2G0Vu?9J?+CL9a4K0DonBMu61dXm$%#?+ep2|8 zWpF#TNr(=&;)k*ls=^R-kb`9d@giDKs!Lp6(BwCs4n1W9!*+7M4H+2u5_17J()W4A zsi~gj0$N+cnJJyTmZCnJJ-bRo75l=}2&;ge$eX-Mk!dr->Mmc2NHYiR)(W}(^@Yab zW2+i_8(s8>kvd@4^W$`(BWL&~jV`?vnjBI(Rs&bRBnYvqwKyoKq<>ZncW-v8&tp>K z=V5^Hi9vwm;*)AsI-fqiVvh0N;997?_FL8rEpG=w?1e;7-UUS#MMABA=fc5Kk=ztB z3vH%&32sO(6eq@$Zrs5)O(~`jp13lKgbvikNm;)^x&>||AsHJ z$BJfB(~RsML1>~z7XY-Giu;bRpfT$6Sk*il&-DxHhyzNr^Md0?nH3-a zumMvE+-1dTxNI_%+HLvi=LFPq@P4(^kr!u)A3FE_rfZvh{NEqeOWPT?sjJ#Bo⪙>>RCkA~?$hvx} z?9PJ={%kYly~DHw3(wSmbU`fdxL2-nMN4O(DoHH&O#PQY-1^!fPml4f%F$2D8FOVD zZDhpKBU2$qp!*Z}JW2#|^hdv(8l2Da#`Ffkz+M}%pkL=<6*W@9pG}BlDF3t_)$<(8 zOg>mR5V26Q;;G{HFOsvJ#M*b>#vl41j`~ zyH?32sNC+QpMFJO{9JcEbdHxoa6Q4H^?_aLh)weHS@AkXq3Jb;E`nFKMj& zfrU<)MUB+WIsg6HnYJPTg1nx1zr@j|6r6qp3SxR#T-`hh6h1hpKPiaa3R+v_g!NL$LD6=SbAspzl2{;p2bA%xtW7UAatFLx=)=(Mg_X7T zrAX5Hm7$dQ7D+pmxR+GVx5czt{_lYx264XFMi|0C_PS9E?2$#)hBZX*kC~%74xMzQrD&-Y=mDh~ zz-Jv0u*J~uo5|->L@^o#x zaFN6m#5E^83Cz=S@?tPPxr9uuU|PfKbT5nFJSAhHFCH+%iYAbVkQrCXvGb6YXu#irCtF1HJ{b_qQN)v`Tl_gh&? zRRS_}c9_I3&-osJIz)K<3bBVeE_RTdzI0Q+35rQgb_`2SALpzj$&-38YOxT_tT_=6 zG=)|S5RxtN?6aX|FPS}4$BzMKkfp31JrF%Pl2vxnq;%O>^CXaJE`fgwRzVP9&bHMf z(io~%&?!~4Ws8}p&XF>lO`ZEz&x6nvv)xv_{&;p~SwQgoMR$lTgaa>>4XFq7aeu43 zCpik$DpIwp)@u7D#-aV=rY?ReNfG z1EH4kB!H~>w`ezSUCOTweYHK+}^oA19Gs1cq zU2s@IyvAnJe4u^NQkSRA0HZN0uVE*ny)TvaTJgp$7!f6_uJFu^SJ_KIY7Y=%9DeT@ z8#j97$yF{Uf7MWN`q)$6_!+iy=bK%a{PG5^ z+#qg8@t(n^(3GUm_V;7*3YyF?ncc|XH$zv0FgCC_R=yjh${Qt`g4i; z-;``Z8cs0{>UMCL1EJ}}z&r)wJ6NzKBdAUQ#X4#fPR6`nu^tb9M{6V)b7uXLYR1Z>=r$GRcA^o^&G_ff`71WrFzg{wpVn1vx`7Bz!DY@jFN^u&IBK6>x=1J5=aFj0GNKof6FH1e&>XW`7c5q{3xk5;?pLPYRVHP71m zg;mC<2Syz(zQX!}B*tlWjcy8~ZC?_Lo^C4{>*Q;$duxfp=N};%?DFH^@A5I*Wn7Uw zQ2=l`VJgyL4TcL-trF5B?m0J^jpl*|dkjL1iOu*@kQz*yRO!OZx+A3fk%vX%=E06jLN#hl z|6TTa?X+FKh)Y5kv+z`~$T-Hhw2&AZGZp?+8;Zu8CFVE^+#hrKv|w|f+Oa^P+FA}z zfMa7N;o_BgXtl}GKoHz!h&&|B!!UYx?wgDNjs-m&Q4|7dG3&chD34=U7MfUwDrWO3 zvF(1dI3wRM8zwv)TQI29B;m9>5$|`aaP8{SsYaezmP2^wx+NbqxatRAJI)tSJ!Wnn z&tf71j9hqJ1ig4-45~niHK8G;_Pv}@F}GV-)-;`2T8e%#@0p9WMRMemXJ1-L>QOvJ zz0#B_SYYRn-NV{K!;vQ^#-7{|uPWK&Kw5PpF8HJB1y6hxfquu~g_#>h)%U)0`Ku0i zdVL}sAb_hB&?!;L`d!R5kXTm@6@EQS7jaouix(Lfbrw8n>T=aj-49)neUJozk@XU4 zOu**oW~Uar(DJ{>9m!EYaKXbh{zARA>?ulH^&f!t%pX<%%c0;krfbG}`u7`z1N1wU z#g7>C@(j?;2^y6sZE(*#(m|DZNk!RB7v|`a0?UY`om~xk_o=+-;-zMcE3q@Z_7C`j z{01lA0Ku^G)bZA)G87FsQ(4=aSk6_j=iFS}d_q~#RA!)mh#OQ?tpI^7x`YO~ScPn# zT1<{1{+2|R`hY1)C~2q;d7Uw-h>sNjq91fX*S?p^_k_#rY>*VSOyXBFO~bH!z}H7l zWIbbX1KGuXtd!7rVp$$L7GtlOx8*{!U8FxZ%+UozjBk+NVp@!9CV zOVE7oR{vHH+P}qQ!_O%7j7e9#AYV_a{rkA~VWEdLT+HT2gCNn&qvi}vYQ0FO)$j|A zjJN>%&BzUCG9k98GA$g*_0J{@7k13%p8i-&+(tK)+L)T4$+N;jX0I%rI7kfu)>k3& zn#o`wAfst|O9#`Z0N3nM(1RfA!QxoX+!DIYHi!kLv7Fr;-L{^B*>a0&)l%sTIBoT?gw+U@~Bqy&wE4M!!>m zb<)bHuMgWW)uPun#nY>dnoa7i`dxwpJ3GgnmMK;<7FMBn^O}O&Idyy69cB6L8#J8(WKAX322$69oMlSBu4{)k3iv`#TvKU89NR zj}#tyN+wJyEGE`z-!&r@VPSVL$kezc1S)K2)I!PB_ z!B~Hf3U%9S^(Gb5WONy}Rj)2(@<91K5M(}Ll0=tW1MWnNnAVN_4Jz)FJk-R z6{Kq*YH`_6O2D#9%7)p>8}bvqMuP%z`uTa`^zt@Oy?{mQ!{Ov%nKsoc8Ih*A8LcK) zR#`5!%#Qlsb9Qp=Qestg@24|1D|9&GV&lU(tBmw&9;8xGeMW30sI)h(f4;v|STRB= z5>*~#XwvHlivk7JP)qLR?AwH*TU>auu#-#gy5xr1EiA`!NXg{nGs-|$5wQ29oekz=B&7Fjk0+chPzrImD9|Y^^rxlwJpBy zY_XbK>!{Sdh(Cb;6|85QQyGw>5?8Te_%-)LD-`t^0;jB0vr@y8s6Y~YHv!Nf_I&)9&Af7|Jdm2+f$DIK<-kzz{Dgf~0( zH$V~q0PS@51z-#+E;lARb#M4kAFNLH9<^j%-+Sphkklk z1?lo?UuI}JWuFkJ^}rjl)m(D z!8ZBzXny?1Q?-N-N?Ro=KZTij*u2uHg!P3ot*jUlGn&(sxv~bnMy0Y4rIuFIj^icG zqk>lj&l}z`3j=_ChgioZv0TKM?>Vt}bmSFb>-cEpR6FYCk}1Co+uF&jl;(@%&E@qc z&MVDUTN>HJ&2z41sVT!xse;ien<5Q>>|+rCS%<_U*9eo%Xrkk#puf1kpy3AlI(*A7a+T{irO(V zg4@=r!$eNG!Q3?x!SHHS$G};{?ot4{D=on|8*vZN^;-!}ghl(n@LoT7e?j|Puj%vu zv~%81O(;klhlmIv6zM8Lq&=jEA{|430HK(KBoKIO^u1!gCM-LwnNpOEZ_w6PU2Iwd(< zfkU%OWeo1qj{QgG&#nqCYfjQyoBnQShM^-4)!gRVA69g-$g@p|g`GcRKZqEMYcE(( zk@2rFt1YUA05+L-5-bGs7RQX0@1*yg&hTVm$T(0&p;}qC#_C5@*&SF^e*YKr1$>Fo8exqqiXl@fi;5p!8F7g zA`;FtA)JZpj)5t(=sJgqBec&x;aEZdhkn-3Mxw{Uk1nS_Ib;lFzG$Ow={Rmh9f%nC z6ugPQveypDT@C4;cUKJfG|mgX`=#w{sB|SZJ)7Ym;;dvd#PCinJdC`&Ff87`3a;GA zuirN}MD=0_1Vg*d*R15AA;l3!D-6j5QX0?2pL5YLfETB8I~xR{_n*KEO3zGKT6JRp zz18Bl8^ezlfxzD|lm>sLs?2$LZ-^dg^Ux`DebP=tW>-W+JJr>Qr|r2fRT(I{@;nxx zKjDZJX;n4`Clv>%z!Hh<)HKI?at>1Do1E8nOxF6 zA*u6aLc>pWo7RP|`DE@Em}E_=>H)rV>t<;g0Ew}5KXK0Scx1G9x{#ZN(X|{ukdieC z6=?;_v^@XReU8|I68)h|&SVjEW&_ASepYY`!x z2UgobsDgf%HF93GRmQ`Tc;>zB4(&bPXuDTIyy6@mpMkR~1%m2DWKw*1tsTlqF^n5u zKpl;>R({njV=w>Cs3yTd_k+zVV)zE;E~Z_^GSpDQ{N%cj#0To*% z@_g*sR4iL@;3iq7FV44a!SJoASdm4t*IxZjegOLhkTXR{J3mHDp0MA`{I$Z<=usIt}{!?1XG#d0l2|bwp)*I%WIq z0>9VrIg_gHm7biABQrMgPP-XSz~N6wakDv6henv4edaJ_;T*nX4qdR$JWGQm>$;kw zD$C5zFaC~+kf2{YJzYw($QpJxB2>3(>vD}8Pe3P}fg{TCygP`@*1DRkTuoRJlRxDU zMUE!WI4uO_o96L1Hsyap8?t`Ue@cFsC{OV6`8*W7wpjG86OkjDlBgyvqEhCQSM?=3 zdcUYW1+>CwU8tuE%W3#n{Uo%W$lDcFZa5~_=k*{cu}XagaAa6NQOe?RT8T5t-(JEa zz4y~vFx&*<4}TEvC2Ch2i6dzfqph1~*5);ZD)}crCYp4;FYOYTOv_oVQq4EE^!t{} zo0G)9A`J+R+v}{Tu^!^HLn>%5jk?feN$@sc&=EW!3mGy!B_I$pN`2fKc~Z4n+nbmo z0R${_qQq1Ixo^t3vVj53`Ru+p#**#zv01!txn7sn`w!jdHwL(Ot%B{S-@1=pD@R1T zyrZ!VBzo%yE@ofWT++ISjzgnd$IN#GRkiTzJhsR5bs_e6-ut2l)j9UA{7c*J4=GKX z9al#Jv~)nvK3|;HPf(W#6lP?4FdTE(tPa<)`=>Y{AilqC`g@?k=v<6*pj2E-&w9q9 z+>t(Kq!RT_92h=Ge@)DY02RW-%`E(OQ{`a)sJN8;?TkR}A!$B7G&5T|tjHAvMar0Y zW4NC17cRe+FZJeHapxyOo)V5o#MSn=91YQ3W|c1?194W+w`Gm+C5e3J_1oBDGcm?> z--HnL5sSm(&rJdk!&iP1dY9<=yNIGFYYMn;Ly&2s&%D^J(A2w*SD_Hxl8kB{)WkLY zJ0e&;$KqCxB~2{5#~?rK1cj<*h3-*mKD=du)sCyx!0vYpX=c`-cuT_CxhgbC1HF_z zq%YCw%I>%?rIqev9$#TEEZ7|pd0hVjJEIlNm%AF673t}hV@>5U|h z6?#a6_pB<0(WXFUr9*~Yb?W7uH9Rk=l!(L_#Y`FGMnlFeah0|-nXt59(FNFwuUHf+ zt7c1^*NpOStH>b!I3o)XQa-MjV*8RSALVeTC5c+%q1kG2_AIv`Qb(f`<)3;adi|F`*X GGVo7J1KQRA literal 0 HcmV?d00001 diff --git a/alarm.wav b/alarm.wav deleted file mode 100644 index 855e382bbdc954bccc3870fbba1acd930878c7fa..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 102098 zcmXV21#}ci*R87V(M&uc5Zv7f&WF1#?y|UBu;9M9Ltt@t4=#&KaCZ+9*Rk%ds{e-b zpFSkRWV*WQ)sy?)yEW~bH)#^PoRTi}J2xIQa(saRLI}mx=rAD*yHdhP02%Q2_`mn! zGu34s*+lk{gJe5dP3DolGN&veE670UBXi4=c&Cc|OV*XGWjFb^94hh)fYI+sjI_gp|UHPglh6&*G~PB0z@Yb6w>CIYbVYqvcFo z^W|c>L!Op5WTH%w$4MP6yFQ+K>KCr_+^mEj>#w(@nGut%lExqAh7rnxB@UHu?)s{z}q_hg`$cPLqca z>o1Z@D$};K7HvvL&^@?s0If;O)4_BBokYjdg&4Cr%}GP4libHWJINvPoxCC+h?Dr^ zy}RTBc}_lIlP8|- zHSFd`X(dHT9uhBa$pM@NZymL)vyYi|0I6uc<@Vopge!kRItgqzfv$K<10(ELhhR$bPt7E-ypJ9po)Eem%5*2;P4t ze`2@ZOEdPj4T&HXNMGo9G}#1Q-$%|u)1Q-%(BDURZ!cciDJQp~M@R8qb=sRYp*iS# z=+<}WP+n+jG<`+WX(?8VwPb_Y5_XNfVei;6Hj~X`7ugH;jorY{g{(d+!3wcHY!lnV zPP4siG3$VPD&ZQAzb;`jSR@<8E;ASNRdOj+l=ey|rJ~YES+3ksVw6M51!a>mMd_#% zR4OPvl@7`@Wv;SJIj4M6VsRb8-)boV${Rc@1tSD1#g)QJBGXua;;W>vpUjHiC)r=D z8f%5;ZDlcdRsuf#h}~vSSqMg+po~!3D7}@simF^;%h-6fi`~QD)0iDIy2YBY>TEhY zj=!{IWtfGf(&zLEO`|EW;2ZP+Jw~t7Igm(kTAh}oRcS-o4wgQIcBb`Uq5q?0Xc%nF z2``Zjx!#67ABIo)kKBTt?;u;ra!CIyEIk(1`Wcpb8=niI!8DL2Nl=37|(E0IUmmu29g%gI*o@kM1# zcQArdseXY(Nv^n~YcfhR1IZ)KKzC;yYjlh$>$N7ez2qZp^YIez!yfSt?`T@s`R+IYO>QbSfze<7uPhbi}h^ za;5wz^TTV_CppPU+!Zbx!{+v4Rul2uLzwYsIS=t?5G2-HE`&sT;S;0eVc6(Q*-wtc zj1J5D*q?Oy6?>D0pZDbn>|7jnD-pZ!FZL-CW3H5QWerK?8xaQ?*Mdy@$=Py?JcE(` z!x%Sl*D8$vSr*1zRQT&F80EfvA-}_ON05@lhd5QjUaRcHzJYXCa-n7=IPy`!D1>K~9#FDaA z)rOFsq#~Xdf~a*L8ec``faYXtCy@@I4j<@1MeNKRNOvhxdw-+~hZAdLI9>XZ;p2aSV3H z4y4hJ1QQ9{dJozE!kt0z#T8+#+=I zB>P65!`~ib?xDm$Bo6|1%S(#ke||*4?v%w`RFVa4keKT#XzC&Cb_gj%8bTH&fd+J0 z8nf4-u`Xzr^N;3QAuTtwEe-EI#S?Dh^%r?ju0V8J1^Kr6vx+)c#VE}EiuB5s@Mh=9 zMj)&1z!*-+NDe%q1@0HxK*t0thA$@-mTkkoh+Fiv}2D zHf*Y!Yy*!n5T0=iUU!gfp&QpEC8eRWIxtTTQUwyIfS)7D2<+Pu;Hsk3N?!sO4kf*@ zk``D+5-hQpj6sB_B7#paw&*vs2(7->Ra>n^=%tNrytAk*n~{rjl9HgdH-ENVwB_`f z=+o3^rM6JOz z^3Ynu*2mV6Cemo- zFVjwQXUh|d)%wY@!&1yL!Mxk_R&A}ODqiK1nqnGg{$cv6`m28{$Jjb{78oLnYH}8L z=v}-Y+*4g$o&ROE&dSOR$hw%-!xikwp+y+0MOpeh;lj>Pf~z;UN9#baqTeW%*U3KQAMy^CsE@S7YY~=S^2h_YQYUZ-Cy-_`(~} z3#Mwe9`+lyZ`MK9d6uQ-Lgp&wR;H0`iwM4NpG9k-r2+5 z-)k}I%SP<68e|Ewy|!uAVHRr6Q12-5EElUt*GOMpLrZecb=7i}b0720F-C|b;tXG5 zgc+GeUTI_3)UPJH={Y+`8p)Bsnq{CVMMM++Q=g*s_LlX`bXlDTvrMjBUJE}<95LqDV858jBw#!aoKHb}3bcjYHB9*WD=cAI%HYgY z_YD1id=gI+AC&_>If5_e7!gLoR_2hQzM&&S?gh^b{O%K>`tx9qJF8GekF@mEk7>8l zH)gEL+Tfm~{i|QpKN-pLqY`6j>7)BfzY>0}9GC6QZSyRHO*fPsY%{$t*Yje=K7G7# zPV8V6EEVjVZGPs#bUY8xgS02!j^0w9)vk+9n>$4JC%M&D=CzjGwvmpRfsJ$Q4s(Z` z^6zW=q68va9L?PH9&h8SomwvOMM7rMp|q7*iLS=(e9);7Sz!hJtHztrM*qbmEueeOlg|3K6PHk1Xq1cGhT}vbgp{Rn&{{pa6M27 zobT7#=cT29x`9;Wy|nzErLMY8C97fPpv=syMxNGOuqex1+jVOL^AcqNJ%>!QCCjf) zH?23dQd3!F#z_Zx8P=(qY?d(d0p%L$#P@oKxGQ+3YpL27_w>xX>E5(+>Gd$Yz6$%AyKBCn0^jrB z%yS^;xzM=ac>(S0ne2iw!(AdHfAYilr@z(s^6@PaJ|!Q^DB?1?1KcjpRpSGBq;9dA z9ov0xINsXtT6>$HG9l_3h4iZ0O3!%L6eo8UaKG@Z(I<#d(p^^No%EjC1ucvpqvb5+ zd{#NuJ2v}f`p*u^7rH)dV9unFUA}*r3sSdH%wx{%k$OMrcD(lE-*5N6w)x)YN0DD; z68=sd?mXdbXuOj#rjUNytM)~}v_QU3}7Q9*A)qH}n{_T=0eTHJ4;>8fbv z4bI$@6!81WkH&Egz8(M4;A>1=!}t-&6*4Y)rpOmcA5#y@P@hu){vkg@dW06s(I`hs z$c*4Y!AZei1M4|DntaJFV~%%(OLg{ij(1kbT9)x8<6KsxtDWn*)8ankZEhSChiHO& z-hA8~Wjd-nCEfW&t+}Qc6~#Ej?32boeTET2lPr1sECB-?ZB55`Sx=nnot8z1SZnzt z*azD``Dp%!Lf7Y>lz&sciD8M3qH2;bw0f@U=|htHB!vE|6!+=-v7bc~{z;md;z>W^ z&cjENMCLYI9J&2Z`db192Yn408Cox-KyXUX@W5C{9`hYClb_a}xNo^8dA@0h+I`Ov z*G<=LcVADM$E!6G0kjo6fy`ECjaeZYO1_A*#yoGl^HD}-T3lMIjPqH(o|eW%juf&X$8X1|cCS0=nW@=mQ zGt|FCP`#i|0Z07K`KJ49w1%6~*?4M_GxfEe+3upA>z>lyFs+s`o|ocf4VV7gc+367 zE51zhBfn`LMrfQYE1z(u7T|7}nUH!SSxfquvLWNEvyHoyyRoO95zCs}!u;|CEca_} zo6LrDAH!GdB(dy=+QG8emfy$a$n?A9|JXOLy|3lFd9GPi4j8qZRa1ujF8w3)=gx%R zDGkyrscLGsj3~FwxGQ%nc`O82uBm;9@AbeMA&-K-`}z1Zx0=l*)keyFwu=^*V~y#0 zhS3_i*#o{sFQ(ttcN*vTVcC>vicpH89@0t4QYI-&Xo5)9M|<96j!GSzJUeA(`k}00 z?vdJbv4mAIC)sZK{SzD+Y7JiC*VreAeUELcb&_R>`G`7%?hxJNWyG-|mP~e!&($t^ z%6Wpd_2L0L%Kj3X_r3Rpk&|3v=S(?(R`M%jL@C}ASAp*}eu=hz)s(2-?pYj0<3Wtv4=@Iphj*zpZ-B>qczm7z;MdyBaB?qN!Kf1)yL)swtEh{KMOeH-`h9RI)QcL zb+jmt+w~^vTKdQ2qw!yU#{3)^KPaVD))Z|JU1Xi$w?8N~3&;!A10&@q9@Gs=o z-p6J+ML+13oJ#78gi7(Q-{XHT`(^&s>{q+r9}@*)>+9}(^<}0)>YPf zk*}iuR_DfvhYU!srkgkklVMC7rO#j1cFIWOl5=cYd{TUT@UOrhlj0uy z@ccfT+&J@#Cz^K!8VI+Rb&T{rf_XkBdDz;==2aw;-72lA~nu|$p_p|lYy z(+Dxj{)9x zuAt2S()6^`nFXLL_vlvBZ0mR168m(YdA{KRp90?pjt%(Yo8R8T)R#o?%Emflm9bGT ztc~)NbFXu`UGqI7v`>0~aY)zn?L3mSSM26X)`#{pK4!;Vhsp1M{)zq{{kQl9J4RXe ztFvewIfu{Ge|lax*JSKWO-b&YGCTdXYrRpJj#D?AH&_C#vDTONFu$3BU4uUa^$6(d zxN4zlB=fL+%6!E|^UKouY1fvFlc^6=7NzFQDD7IKwMGSbk8#l0E>h_w(@on--y{Cg zuZyFgy`k-Yw#v2uTQOUPb+hH1+JG(*YmMpJWDj$%bS-wRcGk>VoLN5WhVzL#QZtE8 zbgxp)^wP|&zK%)$>w~t1M1{tLTn{=Bkl|OtcY?i#xi}rKd!6~x$0vVIv?X;;u8~?Y zZcAhpR~5OTkI<=+mw2=0PT%B z@ohRvjxgGJ`?|}xOCp1LfhxR9U#Csc7V3xiI5LZsR7$cXsIT;*8A@)m*ZenYc;FMvcc3r zEw1cg4_Hm*GrL0)jrATMXQ9k%8Npc_T`}H0`cXYx`|X+L?PDw;OVn+aF18eFK1*Ly zqWZ*i-Q3fBRBgy2<#ywsR#dB_ef56z)bh;oyz@Hs8X|^tWJB0s+B>@sMX$3O>Nzz) zeMbk%81xNXJdfzjd+Oc1$?o0mI-VllciyYsM9&ycb#D{>Gv7?QDZ!@Ire7*o&L~aP z8m1BEWfos+9m{ajW#ufZiG1T7nLq-`d$HB<(MG$&oF_Aq(jwEor_acG;Zi(S&pUUr z+t<5GUnvWy_boZ?zwH0oQ*4E84XslwE6vSKuapIB5nYW8>x!{fJLl=){^By+MYZh) z=VgT-AE*0j6*bk!6sKr+<(N`l`2>WrMe$Q(l)H#kXHXODfg0#mRDWmkQM%V_(#q&( zjhbQtdJ*a3k}+E!ZgiEK*P_VzrJNGY-qD%pJZvV{g;#ImJ?t*z zj&UpAzqJ8+e?3c!(HiMR`Chq!T{I1~-nLD*{kE9R+tuP~n(|7yf|~w!I#=f5nR>X9 zZY1yrVyP@cYNM7^U*1K9xd^IbQ%Dex~gZDAZ00gPLE>V z?Tk8Fnx}`Sw&#S$>@DK;dMbFocqix!xQ!fPBTS*zGWI?`Q+;M27EZOUvG|*xD5Ysf z@kGz59q}I4?(50OQpb3sJw?4QwFUe#siRa@t1FY~d2)!ll|81}<_hMM>M=S?3^lqK zz0q-6#W(U6qBQEYy-91@mI)TBJYrtvr*ve82p1#71U$TlGVwIK7*!LA|107ASp$>fnJ>b`<2Noh@<#Lh6H;7&&f?ZHn zs&~~~s-je6FKKyJk44cS(m>odTI;G-*jv=w*E`L7(A!x%q&MShgn}yKdQ`TB{7EjN zrZK_H%}>qC%)3mL)Mcy-{Yo6PFa1t)vUYT)oXxEUGp6uV*@+catEipXJ$Z|#7-RT5 zv6QT!%VEjaL`6Qwcx=q#Q$)1fi%O1*+L_9{bPYOJFX>(7i|LSMhxLN>nB}P{L2)Sk zm67TLb%a_tMX{3?KtHz+YSE{#u3o$@pTh&ic64iI$jYQ7^=GMUK00?Nm7~f| z#i@j=IwGHw)q!k0E_)AM1e*TP*9RR8Rv<<4iS7QR;G~nDQ8z z&Q5f~=Af$FOnwmW#Y5=sdj8VbWjr*((X$TM;D zl27^32Jn#^wdF17{kBFg{2IF9G5oF3&1hrvGX@!Fj0gNI zc4RQI(b`mijqr%HqM39uo5fbJp6Eh0!mGt>D7!~*lCtt5Uu|5}tLlrisoox*+whFJ zJ?*`Zv^2e@8Fknl`&A#>AU_<_3Wa_rVvtcw z+v}-}95=sq8@2JL-ZtJP-s)Ozy}aQss*zLl9g9_#tDDqbxW1`9Py_s=-co<5lhptv zluk#5sgKCwXTc7r#ED_k8>6~jiL2rnIwS>U9We$T@t5cfPnZ+^V2zDr!|4RlP^R&s z{HIZlKR{jAPiQCdwsoCyi6;s4>LycT;Mm<0zL*$g7jel>Jrlvb0bSR#M8p&BIbVP z+vdCGdgdyoxyo2NO@@fp{G%}+S}?=-Wen!uxW*fZZDO{ZK|0W7^d_x^`cj(G0orF% zH!Ee7Q>-|fN-L8sq9so@TJlT0xR{KNW-F=6crjUSATQ_$taA`+j($9FfHDvG;07|n zWaXg}3oDEUH{iKUfS2;3S67!5B>$n`u@K!JU^+NCW~nZS(pF8n3)mhGDfI zsSoZgEBEkT#tHq5ehG1`hF(YCtvieZMn!NkKBBH)5xj;u6n~9?2u)f$_$8ZFDq_Vyv7-B5Zz@xGYwR_Ne^t5~Jk`vYkdjcE7

c?Y@iZ!B>hCc(f%}s z#LDTS7vFF6f}iMXEHjQ9b@^`|2~U_4-RJvKC4<1#m_WCJ12l$e=t|X>|Db~3O00or zTm_CwUGBjQL%?mB$hUC8`=aOW5(N?Qm!oHx7romB*e61!BKCg(^QMB>%g2BVvxw_F zN*qH^exRs=4pKKDii_xRHb#f51N8qPnL|I*E$~z=!6C#zwwC)I$&L0A}3?ERy2v5G0;Q5#WZr0#l+rNkmumAiBH* zr5oPZP^>Y)$zNnRw~=|;MQu0|xN#QtNEN`kUm$zm>WE-J$UcA#o; zSfq1lY&UKh4Z+?iB5sL(KvXlxJm_c@FjJo4p2yIVm$0$iY$c6HceStlB#w)vVDwZK z{@^cFgpce6uFgd11BONrB2O;xPO2-7mHY~4YnTLoWD~tgkAuh656qEbG=P31cfis5 zL{6c9{R(`KO!S*pqth6PuG0 zCGda-qMLaEG4=*NwH=Y9BpU}FP8@1OFO(u`8FicTjrp+(v^!aZp7>d@Q8W-%`1;r2 zPK6+HjRN+G0Q;>0eLyR+0qDq`K_u$Ky0NybAX@`I%1WTelhEtEqL%Q0_jD6`UO-$I z7vy%*j+S80S$!~hHYtyk?@E$lC^q$$l3OXt_K`tyytv1g@$FzvHRVr%T82PNR_gtY zsi?h0$^M9fr)dYanmuL~@P5`RR(OLz%vn_;SQA>Cbd^U%HPnBa@nOa+eYd80?|Gfx zlUff%zAZ*o?gK>E2^@!&q&~fa72Kv@Xd>7;Z6K>?x)|J?-ef5}(QBR$Xz!EJly~5T zfPJ4B1kAFW;(?fiF8*=!aeIS#5+W1O)4K!DeijV1lHv+-)&TVMMj0)P8b*KPuA#za zVtFm>e+Trfmy!~62d&H&LBB_^Bsz?yf^B#S+>evsafOR0J_g>Xjb1``=mqo!dX)ZD z|Du1^lk}>_DWe5XLiCIRmm&oEoB+R^3!H$d=#?M9&c6n0qJ?ZE6U8a9N1O-G<{fw| z_rz=PR}z5CvN;Wnqy~&p7aTMfIA@_?j?EUs;B|lV{CIw2pU60#|Pi&%u+7G$Vrh!%vig zKiUY!#Bwr$mI2R-gL`FCm=g1c^Ob`=qh(>G68-x8^1Zl$9ON z+y?^Oqux|!1G{clf|ZHvIxR_0!pC{Qn|T87dXWDQKE;CA(TiW?m0(+|Wjhj13n4}p zP&3s5rtYTNru-(SdQ7dY9sqA_9lJ~O(f(uyaM~d8m9GPnupjR3jXs|ResrB|2s_(D zTVtIqlxwJ;D(WR=Fsi5z5ob%$ddLn|L5`>J`LcY8k;5?b(MB!a8&P9DIZ9`<)=HwX zL@i^QW@=;Fr#?}>p!e08HYR;!6!dckYCD_ICq9BWw-yXWcr?iWq-+At=_68@M$tEP z8Y>PSS~talY`Z)p)d6gRo`}%{z)D((eVY%x+bcGJCpbln6pQ|Fs>UH+f01qA1y|Du zwt$_7XZeTChjl8fCp}9_ktJZx{uB#DPH~dkpl>hH$!=l%M5TBxbmTrdr=w{%))4r1 zvwB6Hpjy-(kk&2mMZ-wAY%0!!Q5g(aQmJyjTWJ;kUNb-&hr)PJj<=TyOG~0YfLi&5xZK7)8LX#L)JB&G^7c%ADhb# zK+_hZ{u4=`APT($6Qm|kUw&x+D$!Jw6@A4D__ZBkx7Z^75&OkvNU{|8Jtfe`A4Q9@ zf#4rbMeI4vCb0bMHLXIUz>iu2Uhy(ik6LlBamk1^QjJjF0D1LezETWDZZL`}xX0%Y zd$7CG61uVjv2PH)NS?_@Vmp6f%rd4JV~kE%`x0Y|VM8vwNNkt!M5pgrHB>i_Dc8Ya z+=nb@1v0PYu&u467I_4wO)GH7kWs)w9zn_hU_R%?n&V_naIAikHZ+#@XXs&o9T}^v zP`V>>E7||Ncmw||sMd$`M0+=sTJr)U#k?F9o70*$-*ad#=Y7q%uQg8AW_%Rgw9IhNh7wLq0U;U^aP{*ic z)yv?0j%B`VHT?+hPy>Cta>5ICuZMSqrTh|Az?({vP00gNl`aKSGoHSnhY|7D)15%o zBf-n|fB}6Q{%S9Hl$*g<>kkhb1>RK@X5AO;wL2sZjLa`Y#|UMqg}x%Y@osD4kBVA6 z*miBeKKlgCnga{%Ddu9g-UE%EM$Mu&=?u2fL~@L{Nn_dt$ZP@~4Jjz}UofyfgK1V1 zY<3U)-)<3!EJ}k9t_0NZR#cRO!RNaPr1c5;!+9|Os>xvJ)Inr9JrFkxu#NBV&HM`g ziJbc{c=f@Ey_`P*4>=4>>M8IQ>ySPC3vHhartli*{2O6`O;}_)SYtQAuk0#v3$sY& z*TI?|gs4{qnNasX=SY*_8xKDgkrW@Z_psz1UEdNz(7@SM?bEg8p64VN5Wt8UB1W?ky!I ziAy2`RfMC^ZYN^hZ{X33U>c4FL-QS^+FpF&C%~RwjagPhhCiBj1O6`yJh&3IB%S92 zChd>4xt;L^&E6B>?54{plWv+XzD-Gl*9l(SyACwB*tNtT}2Z})B!GMmaGnZRRY?w2L8Jc zaQ8iWi7rBfA58lo!`TE@*>x})TOkIwz*Q5Gt0|b2UNGnk5v71q z3RRsXiwm{IC6&k4Ln%^Kcm2QTMu+w0uihXxV4R;J(s|_+kkwb6Hsh8 zJIE?3!7z{3Q|2rzWZ zVUAI97h<7T7Qq3|OWedCqctb_m$S z(@-Pd2k&tUOk5p^ZWEqdLS!IE%q2>R0Fhr*f_2}+%@&sA}~? zMI{Z~^2X#B_NX>$0iO};Mk5CQ2Ih!`=9k7g)&Mcyz!-MOtrmPuE9k}*FqnG-=g&oE zR|PWPf;!$Z=-45wZ4Xv=1-qc(N<%i0eUfGba*NiGY!mpVPSA+fe`@3=tUDJNtiQl3 z-G}uo#}1DNySgxPi`tMvf8gjt*d+;!m=9TJXH?LRl6Y_@n_})=aek#eJY{>-7ygGc zGKFwvW*-@Yt0C$W{*Y)aq*Mm>TmVuZ{D+ym0UT39PDXqSN8IiSOn-!~0Q0^zUUx)Q zKax(N&8UmSfL}Ww&l`f>>HUWRx)i%S9cOr=$qcaPUy>TAq4uWTfIkwzrC$SmCToAkAmBu0h z_JLnXA~~Te0niy2q&omOFAEH7FS1h`e9d1N<0>Ln4%l!su7ZiY9e4J?9u-3sf}&s40es>J=zD$GvIFM_-ou8I z(ET>>^9ar|?Sg0ffH>O$qi;a{U?FDF7uw$j_m#(4helwL+<^V|MfPxeKH7p)(2WfXd*d|E__~K(aksm@&H~q66Ydb17uEthR~vd42F@Nvy|5cb3m@9}Pc@crGk$ceAa3HLX zbRE#`Y2@hd5h1<6*zZsu=|)ZTEj0Q(?A1<=!uE>+%e(}ZDS^2xhUdQ{J7E5W;6pCc zylfIO$vZf&vjJ=Qfyi_e_83f`VFras_Su&g(12mcj4Hq%4FS@Bjq?&4VDwhgQ{H!SZvYB-Hyv2H|`8qhd9qQE!kK>_IMH0aEGSsy1Tj^Pwg9LA^v zJpLOMvO+lblYOS|G@{f9oE~@#%Zb7rLGlagQ8R$|Du^7&bDD!^z6Bjk6+V3iR+JAY zbrmc%4!d$5{%kD#lLpDpMf}NwobW0#Dby*UQ&n({LDer76@;e9-_K)TK451I%;+2T z)faf~6Y4-aU|kZC;|%cDJaEb@qxMo2&tHL&9^l;s#JUKaJ(v%Fb`jSpM2!cC!y4rC z71vh8gQ2oBETJT_8yAq@A!wmX#KUHC!Ny)guXh9gT47-kKpY%(jQc?G@zC`Y)V9-b z#;812R}VYa6B6u#=sg;7<_Pxx5N1tqW}zNDS|6ZLAJj}P!=C%Y`U0UTv5?h0V1~(J z6e<9nMGgFZ2MZdD`_g3{)Gr4B*FPay@O)X&#kHug4Z>*t?s9h$V+%uhX!rD}hyJ;OUK_0riLjI~@4OKQo*yK+zGW7zFMpiTF?qK6?_b$mDOHNa8V@wz$nL&SLkZMcCGsq5eynquUe@QzmW6H5Wr^}?J7 z;{?JYoEEDJ9bJKQp^>PDcEr^WnmitHusN!Mb#NvvTZ^)zYXq$e?{B3Qz{9Y?Dt`ix zs;I9tfoE@qm_Tvn?=jAn&47m=nO#Z769&Ow7bf43F&}~sT!tS_MecqHv3({yZ>sEz zF3AhT#`le;<`llb~P-&5aNrO902|)jZCCE&h~nM;IdEf&W6Xm zkCQTWQ61}z*mVUNU(6pnIEIz4M&zCds~Ze&7!F*w2`5MXhOZXT_TfPPqme`OK^8O^ zJGv5?jUjr#zAj*1emKF>2NA6i?6n*6xr#WuaSgGd7kqve>L-uH0eI~-Vlhsmp2B63 zACYS}#A%Q2z!s~ZS=pZD21Y80T`h{;ZH05OT`^X3{C*FdwgMJ01oqScTJaa|90HA- z5AW0hUTHY=G6Op41EkX!^B;U<%Ia@nXp0z*=O&U0)Jl* zI$sIa5dgdR0E{pZ>uVybBCBYJnJz^HdjShMiz^zlpZ#b21@MStv65Dh2LawIfU{3J zyxUPR3w6vf*tf0lJL_=r&_GY35j61`a+$V&u-#x-*IeXgoiU$KNX>~neK@4*kMn|$ z;ZLe#&T0hJjK49g_Iw` z4!%QL^xfK*!JzCl2#QSi`_$N*}?o7BaMtK$7eu%J57dk1zX19@XI?kj^41^lZO z_YXy+kHg8(2RK*W8~5aah6F)&Er7*x;jSRO^8sEs{~x`pj#1tMJ#)zZIb?YTI(PuF z>=AOERIH!@B1ALb$O(TC?E}QsGeEh$F~{oA+B%R(1=v`9jNTlUF$6Zg9T8$1@J1r4 zAoJkG?vW&%HT?*`wjNT<`)Bp-(RJ8_6BfO2O6wSU564j{S&N?T4??Mzydlrvn;OGY zR>RyYtig*77zF!BqEWjNn(aCs%yEowsU?93$D`2NvVFxR*>!ab-=fUEh zL!<7)dSBw?U2b^1p0K>q(5zyx;ldcZHD>t_q}>tgEJ+GLzU8pXMX*EJXg%A$3PRKV zhu@`qtcTy&2`|zKR@x8vdNxM9086|J z>--D5umE>AfaMo}Wi5j(ZNwaJL5kBcpS-{ng>mO*oX|`_HO7hRTNu_{0_*L8Y$e-s zb%pdRLP8<%$Ntc+qL7e3R;OYP24dlJaI$hk?^1AP@C@|z1AJHzJWO5e#cIT?ik6Q4>K$Ab;L}_*4`PrL zvEdlBwLdID!wM-XH-6}lY=s=XsLE8u%&eGwYiL4e%zp5nIJ+4ULZY{l2GqL)k)~sl9oW@_rmLaMb?%R8rT$TCF`D0JNK&s~v$Cd!S{)Nn^1^j3yRJuOGr&ut{gSgX7 z?Z~zAAjX#mj(ChcDuuh<$jq-}1skxlG4L3_;Wtu%UCks7_ZEalXoBvJ3R@cqj4~TO z={5YpG0b2eJVFO(j0>KB8=f7DRp&yLd@Z#6Ei6kQ@B0DWxsR2;$4=VdO>05sSK(XE zqkFj@uV=st?Bpk;)(e$~H|T&UzyPVpA>N|@T>`%N6*Pe26ACOE90BZa8Y;&of*oC`jWQ^f1x!Nx;YJ0QEJ7~>o~LOIn=@9NLkcoMxs(O65m9U{kkQ!S7^?1=)rA7nxEi}tU?5OjFBEASNnj-^Bb5mjRewL_l~wV&8Fc$2>}fi7@Hcid4$D52A2=86obX|fk)~Cgt-dpSy6^GK><5@hKNVw7)*0%_A9PezvC)PsF+pyP*|3u?`Sj{UL3}jLhQp-jt zVbFzx@PatzhCMrk5wbl_II2V{Bv%2sdI@-;Es&NQ+7*P)4}c~`LZ4Qm?$G%UE~^BT zQx}o_Cp^zNAlA!RO&hFWDq{R%*z`w8;|ZeaU+~3`U=erzd|L~HT|9%uG=kKK27n zh=tW1Lq0tf-<6UR{3!%X^!e_h3OOBJl1Zp1#)9>-g3iV_AGM%8P`CMo{@gB9VgEw! z!3!^O4J%D15qL^=PT2xaeUA4wW4&qc33uQRb^zx`0pEI|I~)JxI0Lcb0O(^GTm#VG zJ%#+XEviXrsK$Q8OctTS)d>|c6BwlxA+Zv;G7%AvL4OutpVwiW>}q~GkYi`yifF7P z0iEVjh^05Np0m*KlXzwgobNA8PT{V@xGM@d-xkREBu2UkIX6NC`H0LUH}tI?>J&3z zF?MKi1=ykiET0!%`vPp>2JY$#{c8g2x{R9Od6Av_l}0^vGVH{PjN=XLsw%9x0d!5n z=-HK{uc*AuLO0+IdUDhGINpTUKv$vz`sz=>c&QG4Q!`XnvO5#AFgpiwpuBi;KCCo9 zJj`48f+>h9X7tw{qmR->B=CFu2JXEAKH7U;65lS=2dt59_**yhH2dNEh}M8da}K#z z8+=PsMRY3jp?6pa46~f*D=y>HKOx>$a!M~K`kH?neR^#2A!P^JDd*fErr_Vdc@h6xO*WiVGqWL#>|&M zx~q{ddeF5okVy>yMj3sA$kjFA0q5g#=uO8r01=uB{InbKX$msMQrO8Lc!{^ro#)V}H^?`Z z!QTWSR#bydKE|r=B1T???fr)}&xVG#MqC>M(>n-X%~3mgg`JoVEguV1G7(;LC^UaO zBs?2vrw8IvcCOX}5{m#XE&*gZ8guK5m6nADmVs}HmyI#+&X7QH$g&K)-(7s?+1@|d ze;dfRKeRjwQF=EbR)1u98nk>rJnnMr-Sa? zpT5LSNV+I_j`;dFY{>$Yn2oLWpl-edoq{Ye7oK?z#?8*G+QLFF0mEeH6A`HY4h3_1 zKCaf-f#E>2Yv9}G!TaY0hj}Qt1*`d7=s6K>f%}3Xg%}{h46LgHcGCx*&J0YGgzUlv z|MCxfbT6#2H1>ZW_CFtbP_v-Jfv7%}LPcZ_=21pcaNnZQ!=H${Vi|Ee`y`XGA6@R* zI8i!P+yDc3KG+iv83S+kgmO|{WHL-<^Jh~TQ*re)TR{)N8=Mw4K3liwm+@^AkF<99 z7J!FdUu~RLTAyTm;|!5y7)1SUT>Qjoh=05dJv-h1xzD-Jy5G5% zdd7KsYc;{y_5+h42e`ilz|Wz|7cjRQm=>8H*V3v#>m|-m})T23by;a;h;*;5#{H@CP^tT37q*Ew7!& zePfL%9xpl&h1F7y;~Ox_S{&9l)|$3_wsdQNb&7eR8o-Xp!h+#U@M@f|^=ebK^WGoc zTUwBDmRH9)*P(2wqGNTHO()DZEjO*lahkP;J;PQA4d|`r5K|?kH|;Hbc#Ib9NpbCQ zCS=8Cm3KaNu5#^j-}a30*4N7E_l?n_J1K!PAu;MW(*ko|>lYjM+35SkZLa>Wg7Iq<(f4@sd78KuWcA9-$S9gQDr>Q;n3ov7(nJTc&dMH~csi$KDD%}; zrcm=@v&CFVZOwL(6Tmwu@U&YI8?C5!Y$e0N$M-09lgS)su8zG8Gv88OYzyfo3K~;z zqB7O}+4aDAE~`M6Gjn`a8>hz=?5(f&;C19B@{X2QZmHDr&H4o2tx?f0hyNSD5IzKl`m~@_zvMNEa}$pzJxfVV@98}1S)iZb zw`DbU%e2z=%~2xYWzgD?h|onLfx#sM5Bk0L*^F}n_tXCx*?QY=*^k+;+16Npn)8@Km3t&r5PZME3eQ8=T31a^rq+o^%BrXbbi{Ww zCdeyvmb%Y!&^E#zY_DpoV|C$N)Gy@~jgg=ES#TXk>GQQ?-rSx7uA^C%vizOp-1od! zjcM{SZKgbhPdf$PNjsdH?7%J{`ke+wYmJIRe&k`<9$rVrwiiD78)=E|VjUL6UeJf6 zl`O!&YnmsYr;E3vQCTwjgX-vVf2JRlvzAjn4g8wS?T74h?E7r@Ea_@9T9%LXT*-WzdN;Xp%81mEw6Uq@Qx+s2 zPEJhC<7{sz%6Q9d+c3M+9_g6iS1QmNV#oJRJPj!oblHE4?{}Xyw*BS^rJDRppXBbJ zStj*NVu$#QU;e)vCNxbcooV)L(+3Mi*D9Y(UoAUryX;kczWU^H^l-#F3i(DlGVIr_ z8_hLLbyd64hT@y!_| zkM@Zti_5Y-J)pEVpRtzVzo8#8Q^=%@0y>@Z-FDu z{>^&9JWD-Ct4RxZcEwQt{);6jbxj*hX*j3xj#)?_Gj2rR3%8Oi2zCT*|#Pwp%``%y4&vgk6(yQsY%~$>22RF^pJ?v9h z*PPpPjn7>?PyX;LAtU@AS$C+PX&_GB6~f7;qTrQpGYZJD^d)1eQ{AApRr}xs)KH}W z{YQM&`*?O{u20#YVEwKCXdk!zd!x9~KYV}ZOYZ3WDFbb3ekFq;7) zL_CYW+wA(3tLZnJKkD|Df2*GGU)nS8YxAzq?S%Y#Q$-0mf=lgZyQf0?(R}g z-6=(iyUXJ4u(-RsEba~qELPlITWBdQb+@>?XOg_<|6Y9S2VgogXU@6h_lP@0WcEmF zOFov+KRQXkqZu(d!Jn>P)^(;F!yGM7MOP-MOY{q@-CYu2F1$C)LY>0K5QY*b5^D%} zf*yMxq6J^OuUgj{9&5&{`YH<*UzCm76}AC^GL(W)K^@KBB)lH^J}xgYKIwjfIj%Y8 zc@$Qv;_as)usnFDeTuHK?QK1=s{MEGpA!mR70xcHuX@?sL9H}3ICsOHaGkkPvQe3m zoN-xOl6f(uqA$Ec?gj2I)>uk2>X?6=eXFqsJl}TRYn@W}-MG{y^b`aNKo@K>{5MG2 z4-TC}c0ehh3&_3Ux$rnI*}2ZTz_Qjd!SdAXG(9(!n-Pw4{(n&z@*luziDZS?pLpX% zhowUzw?>j9yG727cp&V<`kV9vbv`iA(Zlqw?wZQk@}#=5r036RKkt^bRrPFpZMf(- z?j;8MVYtl5$Pp_&=%jsEWZzINO!2gIinV z$8|fc^IW&Q&;32&A)$C^J@z+YE~$ugi1dgs9TO2|`yMzu+v3f8j2n%EOb0CkoF#$j zs15i$;zZI2YB5vDFB7kc{2nzTVzQ_oe==_{cOP>Ki4M8Ei!2}1X>HPGbz^f~ZRM%5 zjIv{u=Nr$fA}u7ZBD@KQqMsCc;+oRlX8p)CrfikPMD7-)^T%?Q(;wm2hjb3IAzxLh z*r^<;exYILvJ7T(*pcUZ8x$h8A)U|(^i%96d;@+i?f@nhRURH4IO#6353mv}U(7}3 zHSzs*m8b5;aiiTyBupaRyh#pA_C7(n``4OBYthInq@rkHItoPiqZq+YW z+1sh@=i0tCcdFl08`Wr#vkimo{d{nEH@1bei+NMLFX4DbV&>1}4Y4LkCI2N`&DcOq zCX7Zjy3Uzez#2DR_m^(3uDkBF_K;Sge{Nat`r=y+`s!U#*YPUKL%Nanj{FS29eo!N z$!-G5+o9lr0M}dUblP=xgmbUwOK>du626|GBJ?8iNQ+5c(pX9ojX)RDJd{?-Mfw8f zUo;puDs1z7w5bdqwO-wJQy(*|Tc*rXEYQp`+AZylVg8MXOmqZp1^E#Bya*xj3I5|u zU@c%&QBP7XlOGYh&?_HgkG5cKyBto(2K#jD8S_iiSMyf;3h${P2`IE3QJwIql4R;%mx|zFci?Mrx3K-NF5GG2 zAj&qXp89~gly-t4XR_%5yd2Tw8|~a|3t4~LdfV2Rerl?f&FYRuk?pZ#r;Fp=1`mQZ z5Y97lgy@KD36A@OdX{h;y9qrQ>{XSRGpJV~qo3_N>-pv6+3=S8mX-D!u43<)2}a2h0roAo3*o9M%RFiGH7$jCBF_$PPHu z9|c;or#w46D}49iwIFF84bGDJ&>wUGwh?b8z9II)U4v4>8$#WHv#>A7^~E^unj-W_ z-8=mV^FsS`cauLZ6bH0P5$MsUqk7@LQYoAp{Mmdvr;hoSmPZkhA5r_U$lST?77By` zI*r?Ji8Z?PD8m)KPrF#tr2ehhs~==;v!C_;9U2Su!JzOnNH-`;smEv>Mk=eBRluY( za_QByebl?8e{e<6!(fYdxXbL=<-F!v<6iGM@74O^L8f|ZFeR)(#6WSVyP&Oe4lx<< z4sXEQLWb~baGnR5%TS-dVt1r{u7zN}ZR%l`S?AchxfXg~1%`wj5EFZckVhfYlUOR= zb;;YPA<^d|lcdjuuh;|015x$xEAQXVH)f+YTKTDMU-QQLV70FLQ2p@MXw7G9ufP)Y z4&pZI0On%ed9gKOXB0JNVC;_Q9#W>j%IZ%WLl_H9fxmd}I?vd=rt5|ny;VC*b58wE zXSOE$qaZWp2lf^=3hTvu!M?_yAf2PkAmi{|kR|?uu5I==cE~021YEQ1Hq$NxTmMo! zSNp_ZcYF>%A>H6;M38iwxKKb4EEhkFPELRm+G7uk74!}$jt90hn73I;))xI;`LBk4 zHJ<9u4SU+!)$5ESZ4CD)_&7>IETykvi@9i?kyjxQi%TV6#hv)Q8AFJ3&{q-E|5uF< z!De^51=iA4uN7IU<$96b>pd7c0zJiYN&Tq@X+hd}S_b7gp%)H=6XJj1W}_wHd%nxg z6l=9{h2flGk)cI@+tAIzaeoWmM>i2_$h)X)`hQF>caykplsbA|q)V8|q0&E*T5wNM zb3(8iGIv)mZokyJr0s~}wsw{2s&#<q7TA8n1O6GzWip5TU9drXQY;n?=I64X&?nGd(G!`m zj0SQBwlp-xt8<`jk1QK4r!CPI*nG;;$=bzMYv1eo?Bj*6p-M4+i~#!%dl;`GUM0UK z%Se5297r7;1pDExK*1ak{1E8ne*tJ98v?2z5BURa#2q5y$R|lLgiqKEj12TsreeJ4 zd1x<^9=hZk?Jjn#wf(RhF;mS|CXZ>hCC72vOAQ_aU7-EQ&gg~sqm(g>cIHLKObP=( z0j-D9L1*w2L_yXDyZD9fPjos4(@`X>29G8Upmd{dp`0h)z-d5}rYq(s z`XpwFgDXiLdn!aH0%?lP_wk0v$~T5-$KGZ5q8Yu=k~oqL6M zk#CaklV_$IoN!&=owr=WJgNSC7z#&#uE1x6IK+Y7{;Po%p~=Wp)Ccrmpn*3XXg+gs z*+e#3Le>*c5H8}Az!?jLQXuG|`~Ji35B7Z9F-K>Q+jqe$yD+bzlc4?iJY0y_g1m%$fanuy@lW#< zI(d#H2gdot2|kX_&GxUhE%y1&u5OI?s4ppSBX|Wd1`Xjoq}SAL^bL%U%wDWEW-}v5 zKSJ+I^N~jrKjDi3d*(dE3VQ;beQ0+tC*&OK)H*13o%w=czJ90CZ;SQ*7n}*)!!1Au z7C{l1RJ@3In}{Q2lhzU@VxL17fX6)-xYuRC6}*ACiL8TypwZ|C#3Kl#b3a4>qSDY` zpeF5u0lgd>yyc(gk-EOS9(qRkdIWj`9X%Z$0_dxH-=Cls*@zy8iziGW zrW5V>j`+hk19l@;hV^255uOmmghS~3P>#>!V!HyaRBw|{<>kAZ9c_*eu2EjE|2@1Z z1c$Rh_xBWP4fY>G66qajCHWjBot90L07jq!dlrR66u{U0`Mwz6GmqUxadmQ?bw#-E zc}DsQ{VxKO;J-j4_ykC1-UjK2et;suM2&+EBFG_g;7-5|lzIe*;ni z3jp)$PWT(N8(V^JC#)x&!fnDVLD@jJBon$0Qnly9nQ)r#xM#j6+e-t?gna)n-&#+J zTLid=BSM{lC-n>H)*wL!8h-cDSlk)>dctO+f+!}I;XG&|)GxdW-s=M#JCEM`um4Qo zaiGw*&0}%px*vO8FzBP9YcRJkN$3+0*pq^W#7v|Zr9&^pR$;jqz!M4beHT1Cyoi}7X+)zs3k9VbKs`qms7Lg2guwLv~>?+JN(CKRi+AR^#9-=HnUNWp(6>?cko9wv;&{X`#u79b))7N7vQ zG3Ucgq1C|vU_rn0m3nV`T<-lImQMiR3_bo|awzm1KIUKKi}9C(HF0-%DNs^YgsVVG za6EAAg-`_whi%6VCqxpnfzlXHtRl)tw}|5iU2tJ^IZ_xp5s>(Q0>V(1*X7>sn(v(8 z?B*8xCI$w=y#eK<6=sJTUKd2uy${08ZO7@H==1Eyoc|aQ<;RoI6~n-90=@0X20}ATQJjc@lLKlY@JXi^4|{ zwh`NjCc<+Z9@7ie32+j<5E0oO@B%-AyYkID8IVuLf)$^Is0u{^oobx#h>sbl1e~(5 z!1vl3tb{KCI&ub}dB+09y91a(GA`H=rUVy+cR{Byv+#Dp5b(FpC+r5~B zJu&dl{+CdE4c^L`;o*Q;2L+M?uLAp$(s1?u2n=oN6B#)CA%$MAe)2HJ(4 zkFUWk!wf>*2YxITbrB6=Sm>URF`N{t2HJbG&*{DFUFH>ly>pajxToBG&%Mh-@C^;f zgZ3~LVx!Ms-eamU_c2|-$zv{N7TOGY*(=ZzKvo+CT?S4u4kQz<0B4Q~RQY`P9o!B( zf{EdHKt|Aloc~NH`(xC{B*uhK+=xwqV`ZS-41C0xlaj9M=~IWA|X1P=_E0@Dc8Zp9JT_>G0=( zB+v^G3d({@!>a+!;XjbL=7TKUW8`VjfQC@LAu&<`{Ho1>g|I331~3gq2L=UZ!9tKa z{Q%VWG(bX?Vmjf{36BYV@#ir&AOqqeavthCW;1RC?j-sYViCO0hxIM;4e;jzW*G~1 z1$G5YfSkAvka6k)?eNp!wNNJLA^lH5jSpQ8jtU(E)V=fQ-+<>{f|-w6j=TlBz7GM% zBmkwN)JS!BF~~_bh4|qD(6A{1je~rUyWSaY0&R~I;a8yBZ43gUE=UxG!qv4P3ULK&eH3!Rs2GAc*2mal2#5m+ps1fxE zsK{u*(a1-AM3-as0av0Ci^NPrRYEsGC-FMy6a9xg53c7Wv=d21tO*(6P@pj|0=^UM z3B3BG@H^0PZwhfin|w0rA=-yp4_2ND$lsthd>>MP_4^iJqTB}!xJ1yOZwOrjj^9zB zg{}d~87%NzWniy#2J9A>1Iiy7`i3Y7Ee%EnqeI?sf8+;{IXMxE3yy**pf^7@)E@o< z5&)wCH=+{c$KQmi!x|(W1p|6jI=J&+LwAA>(2=i$yTc;@sl@0T?T9f75E}Cu^+L~xSO~ExNF#pm}c}KG#m9lu1`zoLeL3X<0D{8U{j#c zzuCXn-{9v2QUbz2vww|04-lT-!1&;A;D7ub%ml5X=^^)CaB)RCb3wgx0}76Cu%H*og%f(+6a;QjXk zi6<}MwnrhmfVNC`(13dctwq%V0?%gDWK=!yG5L@dF!wKq0JjWKLt29`K-Qym5%C6z10F;=bQokx7D1y?BJ>%w05cZ72sIe$0`jUf+Jz&F;0>cCzK104f& zr8mHr*a6Z~vw?5X5mW(ZLlQto3WM}fT9^ZT_88zGR{;h>@8Eqn5xA7wz^?os=m?++ z{sP>D=imu=f*Fk4j1%G5SSAJq$YNUoCCLD$61{+w$gK!XsArG{&kFPetjlEqd*A@@ zv^K&6;Tzy5+AG0(?915&0~oCsj(_ zPfjGdv6oR0atL4pJ%`r>$^fH(sppR4v$wI-?if4hZX9$J9g1?7fh<%Szfx8q4 zD}V!s46O}2gIE0ny&0Z!ZiMTd9b?O~8qGhLL0 zl#)%Fh_43ga07N6b_eQqNanlc$g;cvH0=dStKzFltIxAP^+p0dOL{Oa6b7fLd_0r! zTW~gFPvn1+34AkyMQXs@L4Af8$Z!7D_5+4=El1l&Ygc#GwM>5-VNR?Ppq#`=p^bqwg=%P z^)U;>y~W%@nL)WnqtaiK&SMrrdW1hc(Cx7UzJOlwi9ms;!s&D7dq_U2cd=XH)Vu!m zxx?+44g@nen>7PQ#~DHaO~>$4W@3*AZ@Sa1kw&t)#^nowT^E)`qSA}l=Q#1~PmCtI ziMF4719t#ohdhD1Uam`PU+l4^TGfHTp2-2^1GR z=gzju^(mTl+PfB|FCVJG4}0)rHFAyyMeEdeK5jt@N{)${R`bV^z?7@a;SjegwDr?Cx34+qO^E)7BY4TU#Ty zwYn7LhIh8(_8RLGhcf6RhS}={R{kN*0fv!Q!HN|1jWS7XtR2{vP;{7%@?yrKbpDQJ zPg`znRaJEpS6}6t115GH@}zq|!IPn(gdVg2BaQx>+@D-Xl`v?G-y}M+uOmuR(H5ha zXLtb|gKWeH&=!NB-k1LaRgq3+8A8uJLX||v;AWN-F&MYV@*3`81B)sDrZ#}Z%`U+fg2*keI7{0yoB^AFyE523}u+m0AZw*8H_${%v| zG?vI8v@C3Ys`I&*;m&iUlDCpv5uLw?wTQYIzXF;P;=|qi*L?{8U|%oK4%cO8ovS=B z3zddjh1rLA4YDz-0^fXg7t#GLbc}GE-onb}JYeo4fiDpJ6#1A6@nboCh(E)pJeM8g zoTZ+5{s`X~#}i$qy02LYPx@ba#DBs3NS|(*Sj2oh*HdQ#1nf@%>h+Us{uN~ z=@o;QEs!o@ZXs`_P?&c18#)e`1b??HP0uWY-6H^fO5-fm&sJ^LLXKgfGuT$b3BqyQ zFLY1D6!=A0jPFRT1M@&y=|z;zcs#Ou@NX24f@Nk=#klLpDWQvSa-b_9LeFztHvA*s zB&V2$`U9Xx8yh(4lsRd>`4E%(FR!1l3paulV!h*i6k>QCD4)Ut$2xrv^#<(&%fId- z56%&9T(8|>Y_zLA8~iU|z`sEr#vlkEXcPEi(R^+#l}I>-r2yvXQuJLC#5us<%y@?y z;rYw@)k1Q`1g3_*`&edr>zkUP&6^EeFt4WAdD42qHq4uc`px(rnUGj1TPppF0X^Sha7=P{a&$FRDn`i%Yi^nL+StxS_gK#hUsnW%GEm$xI!21& z#W3g4#KirW%jh1Ysca*sFSQ$LrGK>ZvTe5gq$At8+KN^!ubo<9sDH2SV7Y94V9B)I zbiIU&v0vHA(U)brqLzy?1cOAoC5uH?mV!7L8RP1v?Jpms6zRuXgx1e`m-2(6RoSXK zseY$*>iwo|uBq6LkvBU1*PD~~GwG}F1AQjB2XGZ`u&ul{dKYM@n`CV?<{F0RuV~e( zNv-QD6+i#_WvQF37Mq`1$2sQuIhdP_&XUOqmr}Wj3@L|qmN!K7PGsSFNz+3AIG30v z=$dr|Q(ue2^3AkVKUTXyyF%@k-;rO^E_VD39l?)cPLVhhV$BJ7nD`l-Po06 zD!ZO*r^}G>mL7^fZA{G~YbuyK)895jrLIe>yjiopg{qt5JRi(MPa|z*Gb2|fmL!de zlCxvT(+B~=1mb)=2Nel(JZY|c$79<#>n1DRDzPLOSL+hAdo*3ti`9b-4_rV|VXWYL z1sg=LR)65kJSSeIm(mdg79z*1wbxl^+D6z88){mQlvRA0{pm>2 zqK0adDU?OLPd&!i#JM3PNsmZh3D>g4^!-#bpguvi{+IP}PvOG5*@XessMx0DQB#w%?F8an;LwE-ABl9Wqm}vHF)>>PEfw zOgFT&ksHbNu3ARzqWT>z3zWMIu$|_A32h{j=+l|&c&j5S;ws_?$pX=HBBqI7ac&SO zewpcnk}3Bq@9G8{Jw}qTKp&y6)pk~#YAmQ-)>NgAb$o%M*pfI@rV!MjzvRT`>N9Re zIcRf0hDAc1#evw{aF3j?wgh+pdciVcZrZy$ljI2Cc^`!B)Qed9#c7(T(^0`bYHfBl!CM`dm zpJ)~*F(QdGv2!pZ@fNa;T1_kpb~Yi~_02ETQ|)yDdU%!pvW;XIU_^NOL;KJ<{t1T0 z_OJ4JW>1n;!MFSE{1uURxVe!(%M<-3IoG_ z%+uiG_;$NGS!B8db%iG1gz_yV4i?qM#V1^ixhK9a7%d$ryOTILriAe=SY;b-yX_x` zO(b{2XN24Q&%@VSgIee6)bbvNBYaV z8y=39Q<|^?7HX`QwNa|~`V($2 zMGvJ9r+QagJ;vXb1)jeV>(FW8K8{B9^>&StZNfVnTzg$4&+5RZ@D7kVyA1)g3bg>M zz|%;_sJmHXg>liP2`l5zil0*(arp!`dxx|(B9H$ES85yBs;cQ zhu`DNa~kL9ANkS5g@S>y->HGryR!A7!xTyQx=o|8C{O8NXCKT0S3FSvkqxEgzGWrd^3o(XrflpT=Qrl~AS&ZeV)K~2*(12ySRY6{OeFe0{BznGW-;**e93sWWo&(7vr%zE z-)yt_E+QwOHzBaTf6Q!cw0@t9OPDFGP81|OmM&whC2BD;Xjou^ZIAYv@__o7X|(gH zhw0HfewkNkMkyTXM)Mwbdgvf#75NqO9y@{8Q}Q!zRN}XoZo&x8D!w5yCJ`XkqoQfo zyw5dhs%le$2N9U;Jz^J`V10p+XEj+znKCrLmP zFUv+pbFdlrgp`Q?9olD0RSc>7uhLLIN4MH1#Oz`EA}1wXN=-?vP2Q0BGi>RgXwW}0VhYEF>C5m__8=kyBb$*H>{(M9~PKa2EJR156x@TQs zmuHA$oh`|t(_U?BsVgj}6~FpEv3Ocjm8B~N$sZ)!ld-+S^~{@zSEBSHyI^2P9cXqze$2ZoL&WJ6bITZDt7`o=UxGol+JvPApY2T7ICE&p-Xa~IkFG^_@i$#eg3lfPj?(bNyU z-#+{7DlV-v8)t?#QCcLY(uQ~4(ybxqc|wI08!<5Uy9_OJM`uZDIJ@ajiK{U$Az}EK z`;TFpiqZC>o>jTychWD!?@QG#yBSbqn*N9*Oub<}23fiH|=+CH* zPQLRO-y=t4uoCx)x||mq{XAt{1}a4uJ4tGgPKmQ6c;ZDd zYejpQ$@IM}3SYzBMi~~!R95}TD;!ift>|#^fs(O*O6zy&$naOT;@I}+9dROtCucWV?e{HN;tT0;({8vyE@>F)6 zFd#vTFY_yeJ7VXgbO*?=phVkA6C)a^>$NGZ-WoQ7Z2wQ=3p{MxQ z>ekf#C@m?!)_7HMNF6qm`zr_(+FOd7evrRQIxS+Tq(a1sh>K=L#PjyiV0w9>a}aN4W(7xYnQjU+E27jQQWhyLT_Le3R%%N z6PuHJB;1ZVD{_eUNOuZ?%pVjyej0KcJU-kXw}`SLgQ`qjxtQ=3S?$P;J~Rf+2AxS%-D z(5q%vO{o5K`)tEEXD-}^I!k*b9w94@e-rT^BM7;@H(f^OGsoZdPCyO3rGKVv0BO|) z?vQbCGp}NC8M>wzoa|x^ryR==uc^1BOHwE0_U*c))0gzJ*vpc9@mnF6e_XIZVii4M zyhR=IulMJL7QinZ{S1$l70oSm-o_%;Jv(SGVJDFHG9L(sMXTaR$;L~5FuxONn75%d zZk*+X=29EA=}zVvO&N@?(r)q&4e{e5 z=19E}S0(#JV#%V2KEg$0R(QUj8y*j`rg|4neXHR|wY=`GVzd8ho!nZG8T-!pMknDxH!nOG!S{DDEN4qM! zc+~P(KGk3|UsI2$yZ1|4*zsr2KdOeH+V1LvB2ngnuhqB?+-~i8qq7IcZ;non{w{HG zPO=7aHQcq#cf@9>I{4V1?ar}=b+Z(?jrBEf!w=sfhP5|Zmk{vnLJH~a|RBeT6yd%r>s99H5@nhuotb)kj zU+UX5Y}ZGGfId62D7jPS+tdLvrDVBaq7)}v7tf6C6)TIM8|&t6B~n4Y>miEa^XXQ# zKWd`Y*{W6b!nU=l#pZNmKi;AET^Vrp@{9|y1kO!DK4uKMAQW)#v#qrKYpb?YSdwjh zE%~a}swV{#-@SXudb6pZZmM~si0yO1GfM3g3JP|AV$ ztuaTU9!JSys-rNGH8GOJ+j05)bjnZMUa-5%^sUuP+O{;kX`0d2toYPk-TGaH^L!_Y zc#MdsSYvbt5uSbmzn^f6I*0ZSH!Jkf{n~olC^s&%jdq!>R%J!)hLU@Q4L^AmjK-Me z7p?sa#PDSrM6P#ka|PakUDZRs<~UEylth`4n3re;y;Ld8~Z7GRKy~wQ8H3AjeU${ zg&e*X+acpylgc*TX>e|_WGJE9#lKvI>kH==+J80&I#j_ zt|zn7R%d?9-ju#0b`56<=_>XIvN3SMv(`njRI0WpjGzawyYWy(=gR(VagM9#t;`kT z`4JwWi<>EUBAz6qu>Yk@!S6u4cU2m$X%^^8Ompp4$92nTZ9%)SX+X`-qQAfN_z_cg z*zhG#fH{`$IOL;kx2dE3@@Y zV?>qb*U#cpH8-`F{2anPRw-A&?#f&(;Kc^w)1zJ7bHshfDDNzr!y4i08ejkyaH!R< zBd9W)cm5%MNq_P2)oD-)zN?lwf1^_w-+6dxm-wcPH@Uq!6?Z(HBg-0=Xq0>tE|PYZ z?&J@r=V9dDulm~NKy}};PCtX+bAOKfv$Wxwa=9%!_!w}_YtZfVcM-i3=O@+2ZHQRN z8%6yL?$UF>-A@P8;(s8f`?@$b7=O0?`qTH@{ZB^=`jk*B^O{y@e|dsv0)r57GvRac z`qYF>bGADTA6Fx#OV&!+QTVvuu_e+~^y7h`W_)8>Ma=JQrC+KkO@h`kMT?Q*taZ+{ zqRjK%-|+V(%hG=2e(scz{XRh;_R!SW0CIYGk$;r4pZ%(1jI)cWt$9T0#==40mb?$W zxcauFB)z@Gq6{He=i(3MXgZDRl-Q|v$Hoj-^4a8V8526B=Irf22P@or?kxn0@9%u{e(X_jA&#uS1Eq#&Bl9%b*3Y(9pM{< z?p$Hq{LJT_w&#&MUrm`W%EpiPF`Q+V?fS#o$=b21O|7%*e3k5q%fEjYZ7*v4om2N( zE%iU99+Py9&rTtx6eUcH|CPL=!__XRPK5Ng@&Cnah?u~6N&JDLz>{q=l&fkJfAufi zTZsR8{x_ohLe;gVm#U9?yOyTuW5NZKn7MJn%>O!)yR_x0J8w(PAg443>rb?Hw;o5gFqexj$J&$Mraw(DPPvsdJYi)_ zfAKT+e~f=vX3jpA2iMuXQ@yWobk+6JM)6TzX5AKi(lrmvJLKjLC>X`*}l)Ix^# zUGe2=jyl)1J+us&hWZcBr!8Q0W9P7GqT#Zdw53@qGnXZOib!N##sod%4CC5)P48Q7 zsN_0{0cTulerz3KJ#M?;`s1GFOm)Qj9;0*UeBN2%_o%6f%<2){m8OzrGZe{JGr#x9wGBn)>?=ly zS(2Sm$#LJJdkU-+6{${skm$C?OYY;8Zrg81Wp1byw*3u?l*z+D|RX0 z8AXjdD|5#zj);(cl9%t_2 zSsf+O(9&RC*axYC ziOx*zq~;~H%(_dB{+4NqNZmNgK}VbCau7z2huT7y{g-`#@OVlp|5L=QnCRGkvH^+C zgqzU?95X80`l0z;#pDWh-OARhnt7J1UPovlDu^A1qoHO8lI>EXMR&|x>=zIR3f9Nr zQs1U-N?Z|roBxRV0kzf}4_cTrj7563c9ZU))$ZzRr|4$O*UP_XA=6VmRol-L>pc#2 zBp}G^C}wIlqm7*|I46Ao=B<@p?nsAV!_|6EoXcBz_YMJ|- z@quz{%Z&zgQ-S)sdktZ!pjPsTTTiQ?ZYIq|tgy{cgql7pzq%jcCNaPAZ6d6wBc}|H z4@`9|bIRPa?7hqb>|{R{;8Lds8Uj8*8u{uy=lsj_F|Z&oz)SW$3_e9w;f|m!{z_Z4 zJsJKNe~|v2xs>Y_v!f}J7E--84K%LUy0PjSWsB~Qdk;QFFgijl(r~&kP7?vq(cV*z zt?pWmXnJ7%fz1;X$1>u{F-@W-h6mdYCpZL#f3=@Y+ufsrRgjy|8#KgCc#x-eowZ|a zCk=k(TKOW?En}m{gt!Fdgbi>f1calJCDcxICNDDPR-!GshIIq`D5L@%jQOrvwn>gD zzHUegaVQhR=F$7$Tj1W7#jVTAXOyg~=IGABH;B`@_aa}$*rXeHWUza}A$$&>_JXF+ zJ_=P%vvHnt2QfJWLeOkm2eez3TH6-Y^tOedC;Ct0wUqaSTP-W~QD_Tsn~{Ehq%B{OSJU~Aqkg7&D?&gU zD`lp1&-|5&i|NGfjzRkQU}f8CR(jfyEAY2Kck5rw;y}FZmqy$Er#-j*X3K=u64iG5 z5NHobkGB#W7?5M6SMcVDM@gnceNAcU=*!ucAZEUY8P;!_7cC2G33Yv1Z|R%-7m4#2 zho}`e4&s3OmQG)P^LNglDy0iXQ60kE_^Q;ZbXV#%S$|Ozso8r~J3*Oi*7+}n_l8J8 zf570wdahf_)J{dQ5~CWSdaYrZqkY-ypB91XehHH#P?MCG++fBbRI6cS<^zd@r1Y1voL3==3t@f(4x)Zi_!8PP)!B%lH zU&+u=;uxm{ZpkfS7QbG~O*keCa82Q2{rk4Xt(vC(wG(T8wJtO5_t~KCxJkHq*hxr% zo1p8_cCl@rF4}Q2m_~wx&9S-hq?p{uV-abh+tfFK3gGHaapVN+K>qX~taNR0j&QX) zj@h1@$ALHYr*@;I!t)EkCv2kBk|AO_K83u7>y0Xj}o%z&M}>|XZT(q*AsHd4=Ezn3Gx0o zVtjPuzXGJtFFL{3P@h09N3lxMc(N+5d~%hzMWZdU#=D(ffp4E@fFsT{RG(p(WUyOq z`j6wE^5(>8)A$|k=VCiuO6?`eBMt!?!6Uobnq+Yqry0V!@rGPmg157KtO>7_H4Liu z){ju1$h7Fxm2qtpE%b@tB4MX6bx)^;oDy18R?odH7Fpe^E~>%NtZi#ibkOWDWZC}m zJPRKqZ5J#`+R!<*=cw)hAJ|{orkEDq57TOb-Xj`QytvOqYD(?MrxkIwdi3I5&iY!o~8CpsB zY<#ug$T?DcNB*XXVF`9fN9S+u-kdhcg_R(F@?)@Pkb2}kc^ z&qZ?0{PwH$;;Lb#gd$bpn4eX}Wkt9jwt`SG+>mNNh}+JL5+p`-j=z#l$u;La?iuc7 z@Aa(vrCe-=IpJsYGEp-{;Ndsb~^wWZyQ`M-PqvHr;}OZsD~>R7k7T2gVk z=7gMN8RT_9F)UQvyc}zf{Qhr;%o}pKZ&sJb>3=6YkNgUfW*Te(GTrl!;#JABPo7T; z3V4N^zcqZHTY{;bp?G5ZfM_8tqMf3-8P^0~;{Hxwmc2F`%1lb#B9n{mQRz^QJ4?T+ zvh3b6%oKi>ViT)WnI9l4V}f)_8I8@na5 zs_VmUO&xm17m4xwVa)Y}v*EwpkY&5JMI}~uQZeP*8?7}RYNytAui9HKsQDoOX1y6` zL>;4k5mhDfIDP z_YDPeOWs%Iw2IANkTINs=&i}snbb~K^YmTkWi5)@%Q#F>V>3}>0)rj3W|?KCjcZ?M zjWL{&(;E6$MOB@t*R=o8HCW!d1;IIZ6mMrVIrU11)K2xC^c~J*NRm;pH0e6QK4y@# z5tjmzd$8|6H`W@fUD@`bcH19IN#3trrMWc-`2(ZWNekO)^cZR8i5z_P%=8~A^n@zu zMy41$F+}q3b=|adGYv5RvP`iKwr>mO_&N8Wg z?zkaVd97hh^@6JV)z!6k8pgHk(0E;cqq7+pi8Ag>iZo+LYJV9)x{?1Mn@iaULGFXv z>Fu4AUG-Z`OUyP?CnHaf(CIWi)lG_I)n(&j&lm(1pFnxQ+$R1JUzL%cQ<6O@WqlN$ z=b*~4PLN}lgm1%}-DqQU8?teZf@=7r%4pJ8|6AFyZf8qSepC(elA&Vid*R)fzmhto z?rs-yr{-EArKLJOg zk5iU$YsI7DcBY{_EXbLb%}u^8I#2wBI1K1)7sH|8VedoR4js0AcXM6qq}KAr!3|{% zyP9vc9Z^P`^8LRF6z*+le%y`}b?Wkjvr$cwn20-(vjxuyTVOfpDhjOx&B*pk?E#J4 z_*{QjU8Ol`I$)pfSZbf;;QFM{ar{`?W`R4(E2~QEmFmi<%VMXMMc7Cyg80A-I2UI5 zluotzs_IdrsX|&_Q+J{DT6?N8LYdTVZI3m7#X#b!*o84?qFITx86DEB(M6J8F*B3K z#_#3+M9|$!oF?m3?c>%BjikoBrudd~?Q7M?^i)evXS_cGOt%;v{s=ipd)O;Qr=ym| z*2SR`5J?e9U*eXCT1b)bHRmeVQuj*77h|Dnd|S7Mo|QYwXEh8^iS#}7<$8qan5z+^ zXYZ6!qF=?nl&z9g#B`Bf;-BP22%NkL%;5xkXn^OqW3_ph;$WSjid2JW_^W-HrlTRz zGQqhAQA63t`G-@>SWd5@{{+s_U}1g4w3vdJ%1Ep9Xv92GZ|eGBN81&BSTVbiQuC~O zZtb#~tCe}RZO>8*anhTG~?gG|7A3i+^@q14^5dlarJC{(d~? z`Y{83$QwN_yN8P|bEwb(t6r~aiz&iC} zjW|M?$8K@A%b)t@`Kf)%JOy5#q#EufSG)?Tca@IKyPJQ#!qK!)+1XjEnAW+=IERd7 z4CK7!jAyQ32>H#P@}Q`|m+~O5lRl{LDvubJgF1@wn5|)&;h)yT&ZL@Sh4bSsTSiJ+F8t`Hqw0vKaYT&q-Xib#UY4 zf*x73eoiiIslBUMtr@EHYBj0{!*_*0+|Ti==uOB&YAR>1hp(?W;CNs{K&21jNfT9q z+=6Ifr4ZtP6dS#>F{rGd;8xMTiht_irq?ZlRQD|)H;m8nS{tMaPzaY`!}OJwy~rHy zZgGWYlRU_~P5M9g5{aj59^0kc`nM_PNzR+Xl&bEnb?QKMOC+Q%q8!k$SU$Aqp2a2E%5?<4k;SUlcIr1E?IE9HymLha$Yr6uY42MSJ= zhE(O&jH(@5zqO;6bu9vel(h{UE&m-S2=q$v1;L&Rz1rQCg6ZyWz3O~xe6_v{e5=II zsZPrWZK7ge)%Bd$-v?#ADOg+aq?TyOF}#H5vnj$l4~1l_a5G&`jB;9uUd&#??;dk} zCItTDKgFlPdxGZ`p2g*@Dz9c1uP%63(5>irX+tGZJFG3vxQDD~ulJZGbqMW@(V)+z zfK?)TD2VU=D3Y@mB2LJId&Bdb|A3GlAUAmVX^+hdK?NM?!_mOD1JK^5leGWg6|5)l5WQ{x=_b8ST zp%42Mt%>^V>%|t@j<;rmdW!l2w2S@(XI)!f#-fFBD^Nvb`Vf)08HRlu4p zDG44PeI)v6)SQ^InDNmSQIjJ#`X6SGFq4ErRemS$uWy#@5FaYI+8R!x~FV1 z?xA>5Q&(7!G5gcxPwT(*{JP~wd)~3SSL$j7$O{+F^l0?l>8bVd^FJ6A8Qd8H zcJ317$wjf+plr!$MzTYy!fV_Lcjg#>ZTvOk=d+P%e++@@Sc zL1|u9(S+t`V~70$K94eh_5}18eWf!6XT_nC1JXS2uF%^tYoZgwN`lS>KM0s9u~POJ zobCN<)AR3UjL53}6Ijqv#HhZf2r$0}Rq$h3?|E$gTb_=e$DhUh!}-InlWY!}7q=;S zO=4^`6lixB(=^T>#$eU-=HlwLmAvZlRa^d+R2!7P%`2?e9mnArXdop9VoZl8 z6;{fx%54(0x3|w%{--T8GRzH*NOS=2BzG+B5|DIv0XMydE)=@?3=gjlSA?p=7@@bl zI+=RRWu0a|pj)h3+@Wa28fI3-RAx8+R;7SXaUMvSdxl%>4fZTN9;v65u+47&lc+te zx^MBw^3wUu@?Y(_+kK7j44SLE)L^gvx3RRXt1YcfuYh&ajq^>TF)izn=q>vep>|FM z{mom5)$nKLAn8ee#J@PeKhi7aoqu=EAmV@%w~CFkHCp8nrLe7kbwRmLW07vR^A@oP zB(HA3cUfzUq{WR`LuYbUax(=>#lxlHvS|4ezheJNAEV4oRDt}f+ zcWr@cio&g7SLySjYc$U~q6RV6!vC0yG}(q1_)j{*_T~ihx43O~FO-D_&5r34 zw>WHw_jch8L}09HBO0bPy=@ZLEv)X*gzA=JjYtCn;qBxuKySE?JAPmSU}pX$)6l)# zL!wVocHp`=anii-Y{?2nJ2Bqj0>?q4>d_irttxUW`P_8E*y++yoUCHbA?i9?e|@gm zOo(V}{{NX8&BA&vNRl6n+Lk&tadF5;*)HA*c(XCQy`z3_-PD>1m5-{!6yF@zX$?#b zE0Dj67lW!Xy~BjvcFwhpw>)>M=!--bJu8CxCX7iv7_~=soOy{jV_l=~>U3{$)Ou8n zC|z3mw&|*Q9>vAoDxwMZP?Jq9ovB^_*?2?^-r{0HM&?k-%+Sv%H`6nd)`t{&{A7CK zm$ik>d#g(-4*uO*aiF0}O~d}8g{*D7OM+Amg~Sc{TDDPRe5T9R->_vPp1df}IpO)K zWcO!LlO#RR?pPo5?yi0vA&t$|BPz;DmRD3O4!h2?O#G9)hxG3b5A~z=`I@Pw#U_S6 z!|(@R!wd2D2(L{%ntC^065=CCV?Mzb>Gm|YRDY|I)=q7_)X|||;<`ZDMH|KJ&hcRM zbne!??NqAjJI5%;sb5+j(m%Ub%cDXkCNfe7N8Ok9q5Hd3rj=dZie;^jTEdzJ*RE{H z*NUN^^kig6#^H6oUEt%k*ZAPn~vely<30kE)&gY2W=0kBiEW&Dj99r9qZM-wcqrw?M~Wq z_hNZb$g||=-ZRs<5yz$T*cT`juDO=KUH__LRO6MCl`7pvrxF^Elu>6g&(IXsMT*3h zbD-Xbsm$tS^cEE}LsAr_(*pb9C_QgzD~V68Z&n6Rl(a zVid#I>>KS9oI+E2OYiENZM__)C>e02^O{kr@^39|7AQr!`TDnA3w5BImzUw=i1bZ) z+|47|9=$Sfl&n_d!;>P*Op7!}l-*kD8}GF)=zOL1w6O3-WGC+4wX0!vZFuYG&b~@a zebR9lq#iz$gamfRd3G;JxD-hD(D3Elljtqi5=WYSjP7>hk;>oA4?%V7PTO2Vj;5b- zV(ZeTX>I*FjjDC31YHMiCUm+chY;hckV41d8Bz0d|pm7?lk zqfueeKX&XVbr?hE3--Q}+hCQt^PK92xezk4%RHokePcJKP!rAv@0K-)VA}es|(b!?T0NCV}?FUQ`1guKHlUh;xHKtBc!Y&T4`q*|xAL&Y@ z&0r3roUnLy-tA~s59+$AR+(17&v?mRXyAgd%kd%I<|O5WF87+`LE%@C(@YIry7m#Z zWLaV5*3JX2I%F>V78960YW!4)dTQ5|u5B7r_sqVBanz&4&pY~S_qF}*^{YyG7JObB z&Ra}Ex=kJ5TUqt8^1Y>#YKq(M8b%NfW`F*Dro_onpI5|bFX?N#tj1c>$XO%#Bc}$f ziT~ImuA3cDpywn7Zim5`%}_VD?X6RkU#{5M@z&7(O+x)Rh+wLhHsj92bvPlhiy!Y?JRnXbr^C^-_#&K2rUnTw7k= zILU~RACTS90=&O-skvOUUCC7TQ;t?A8xCS(ChqYr_<7RJ-Xr=dQ@cRt!5=qo$}_`8 zg>Tc!s%=H`d_yU<>6Gp%F_%RbY~qDd15Fnda^*$!2vw+Nlm$VqaneOmo)Mu}Qp$U5 zN;($2-gAp^2r|qlR{m+URxT}TDe5 z9F?f+i)xxW*)pDTmN&}7Aio^$?5^s4Fj)|6l=XAVr)HYwbmY{oFJDstS z8vacDv!nE2^DI*{mU+U)0eMX-NN7(<>TQ&1kcRCX_6U=wv9)eXq znV3+${(SP*QcBkQ< zAwyfQ&NUR{MU2P7iJrE=9tm*T`b2qfg6CrqK^tT2)#Ov-wQC!F`6cAlld3X!aXcEhwV*T)g!$pKY2!|NVbvDVtu1HUcJAdUyd@T zw#dEluI@NNWj^EYaTmF#GjD+E*gS1}SA&MGmplHW%;$FZNcJ;FZBL0zY71NA{X?{Z zzR$e9ZA$IJiYH|oiq{tRZWw6KevJ3RU9NzPK$;kw&JkAE-A#Y%=X#%V`8cT(4Kt_g!h0`@U{q-BRG(;(Mg zG-P9)=w`lIQs{R%GAAiD$t$9lU!ccO25Pz9cCGe!#mmyRf_wSPs&^Q?(S7Xk+!?$t ztVvK`^EvI`E~##mVU-1Fnw)BBP~egnb@%nXc6ECnj>?i*xz1avLp6H~SN}Pe3kp#y z*SD=Qh;cVs2FE0L%2^HFwTjKN%q=E=bGx&QvYIja|$?E9c0ZEcYETiMK zifAmT$|@@;Jd*db?0IJ~v6q>}Imz3_^`KQc)*CS0O+%q+x&0-1n-%P#^_>!7OX`~@ z>2^8FUtY;wNT%q%HV!PU$Q_e=E8ki&xGq;Y#7ZC!IHa3U_>7iKoWm!(+#Nd{_X#I8 ziksvy!UqX^7ym4Id2B<_1J7E{U*`wa$%g9{>xyn?FUwe;cdTi@c?a^HeP5U-jA16? z6YW&&1#soFTxw`I8y9c({Tfl2GPT!-G-=dr*->^DK2h_k#-5L5K^grrWxthWLt5?` zHb7b~!{fSmBKLpjQ_wbX3E%2igC!!5IZTi1a#nE9*s8>i=*ayM?q6d|Rx}RQvyl&iAeq>+*`Ut2!y{Zf{7zu4Z`sHad{ zLD_@1vXsu}ZQ(23E_wS$X2xxf7$E<|+l2^B zyPA3zm;MURc>3+|k9oi4<L;DUSxAXOZACqZe|O7)#M|=DIh_GX3;H z`~CNOPmrIM4R>otL(E&7rDf-Sp`Qks%9l`o3dMg{^;}NjV_p)@g_=RYLz?+i}XX;w&d<(T%#_vyl9LxDy zQKR9*dhT2IJZT5my%h!C_IfY==)T!)38$2i!(?-33eUM`cx1>9$s+l09r^8p8ba$2 zR_-r^a-RJhmfNl5PUVO8YJ7#~f!H4jJ~3}1(gWtY-(=S_ZJa@(?H*t!LHxpv#-GmW zKu^-M8ROyC=FM&16(5VQ6xQYNeoiPs;J$*yWQXMQrJ5wm+T+(I^7Y&Cf!PP_s*#b@Ak=UmzzuL zzEpNAzVW9dKeFCzdQ8g}ob~z}5uS82g_+zfZe~<&*e?GI(tkKSm~eivo;PIawMH9I z3p&)7I_f*Nc94zfwYRIMRQ|8xS8Y+pWXpT>g2&BZQ{3{jBYi@9UrG5E`N;pB$6e+O zmzU1kwx~9~bZ+sDYE}C@O}?h6^IFI2mJM~X%0Feg$`IvE#{y(4{k+?(z-NgiJ^$+$ zJ}7>mM~|H7Tb{8@o$Ha_q+qnaYUQ`)widO_YwOnO)#azT+gYPnqde5sRa;$^*D~BZ zhk8qx7%(8UbimlbH~J;_;-|fe?UX0bbIj@v@9GVII=+^F6Xs889No3w5N}Xw>y)?K z_O;2B_1g26g?8X+pf;|**OTaDy?PDl7=C%=(7{bfgtsrV!<^Q>tY&XndU0`4bQxUx zv#m+}Ub{*E#q`!Y3dvAN{?SLMPfAySN zY4)nu`=8!@9h!Bf!l(V7dP|p=*0XDt=CJ-E_8X0)I$U!}7X63dg7-hsw|nbHJsH1! zZ2C}Lw}F9Mn1wn?tKGJ^9R%==6BWQFqcg;$uO)gq^)l4|9&*F(fK&L~Ob*!W?1dHm%Nk{WD)gWs_o#@h#(pe2P!9G+**Vig}Ec%#aHrs=D>>w{KuxA93o> zs9N78f~yF}^tj=7PW@~Clg}?EeenL#BmZ>mc#XndOx!^<3^xCid#%*VyC`5-m^ube zzTUmQ`>Jk3lCDG#32u?aFcqc^RkyyTKH2l&_;c4=$!BI(TVYLgWmBdy+quK7J^&8g z5)=}U7bJ>!7dbxCJ>kC|&c3J92glwH7Ri11gON7-3+=>)p}%juH@+V7F6aHo&r^PG zC|jafWIGJ?VSIIK^;!vPY1d^bfr>D3;=8`RM{F21cXa%i3nR*VUJqT%kFpajkIG)<_WAuaH>sps z)&Ht@6yN*#-#3r%Zn+EV=NV^0qnJ|!nBXwf*H|QYSB;>OG-$P-#qYbB+kf9KN-ghGcAzZ(@A1;u{E*!FMcmr0oe}m3nq2ZWG(Ab#bMlbLabu@g zC&Hs+`sKzBlb&@QZ!RmGkj?zD?|Wy~rh@TRg*9i(hZT-0z{>tMG^o0qpx&IDBN#2k z{4*lkQ>OMS_~*{d-!pPYG{-ZfFVHIcIjyplUe5fTmUH(Ht6*a>yS%vSTJ5pA%7&rM z&)Vi_{#wSnYN>&unxLeV#y=cfX%}H|ca7 zBgoFbg*){@?N2L_+{_=Qubw|%XFdEqxNJ|;QuQtS1Zoy5jTa|8C(e<#gdB? z!JQ$N`8*An7f|6v@wh2Hf6!OKabQmB6 z6(d^9R6^Ygn+I!|KQEq|tV+RpUmv)n&*0>}5vv25ziT92S!|S$j zb-WI6>qP@HP4t=*Fx2m_q?NrK z8sms_R3HNWbABF`V-M2}Rn1jYHGio-QBhU9vgKEMlJcC=OSw+v&|kwS^iaWTDJx)S z%*ym{eIk2qNJ&my7$XSwkv-x6Ve?U&X>RlTio$ZFDx;>W)~9i9+bYd6i`-FQ_j3wd z-zbyazIi|Jxggoi+saU)QSetXkrKv^7yjZ@!6zKS)_n&5_M2r3e?9)?QSzXc-sIVY zv}?LHTE4mt)4bgRd>!Ej6907b>z0^Ol=wKt8oDH4xZKx6!cStXh8CC(x4&&1(!^}p z*;HM3srG-(jj9#8<=USbH%4BOjZUrwnPs8OR_KV) zyDhessN_~8{~cG;yCqV=0TnS9G<@q}npo24#}1nszdLn!x4x-4Nkrr;U%q$~_a^-| z++bIA4eM;y`dOBl=Ng{qW^3*!SGC`3^H%coM(bOf!Lk$k#9Sl06ucw4B`P?mQalPx zuwB&+>bj+a&F8Hm8{f29xvgP!ePwHsa&l{V)y|5GEs^?5mO9%D($2~7{Noeu^~w{8 zJTV}CRAXOT?C*fxVt3{WJj$>_mD<%u_d?UsWip&M(=6%c9fm=gB*pWNqg|tIO|JXS z1J*a>XLnBUnQ&oPOc);F3eNO6gdTBZ8(a!w)u{rnLPph^mcWk7Z4GUs74&wrrmSpq z(`eT%!8=KcC(lzSx#Hg9{!0EOHfLba*#0BxQoVhL(Ixi#<_KH5^MGxMa!mE=DpqTv zrc#@(eX1L5OaXaV-8+UWJal?nfA}!R$FnBnT;zx_GW>LsCVfLP?5}`pTF}Dk%w0e7 ze|whito3YcYV>S4SubrG(O#_rPf>U}|GYqvcav&>HsWCv>c0e3 z97z0^c)b_B7fF2PL`zeq#;*z8>$_Q!%xZL+b;Fgjn!Z&hSAMScY813SXqZtur}?Ss zmbO(Z*GE~m6Eeyg>IY7S_y1yhbnn%DLF&h3EK=_Q;{ghB-Gb_xvhY8Xe}2i$D!uzx zT6w0H-^^C5G4-Yo_jww}PB|2LSl%Mt;JI1cz`4oQc#n=3rH+fa;%9U(=AbB#JVcyv zwdg> zbXM#VzxEXQM)_s&?5_V(NvodKyh4rWN>tVD{n|acFzXI1lnkZ4(rB0!(5xkP6$xgUyg?!Oh_f+ox?5@`Grbrd=E)<6w zn(O*>WE)>%PssW3D)=?g<=O`F>BI0O!--Ce$~fIQwzEI-wWk6_q)O=UT(#b)v{e)?tY`fJmNpZoep-BnFSv? zIrK<)qdiYote&S!v=2ab(<;c#miziJ<6AS&yh;Ztna!g>!s9!ww_`lC7XA$Fq-DC@ z^6v8cBJc2M6rYuS_mWFyf{x6kj7a8uT0HEHH({Na)6rpitZHv+tGm(^sK`^bcdk^- zQAJyaptpp{(%o`5->U(o!9#MS0HmT~j-1+CC~Zs??5C^`k3$ zlt)z}&6_n^^K-`%XQyL0v5;OS_#DW#g#R>8T zZ9tFkMg2JeLT_=5_zr&+Go6(rikDCFzV5b?Iu^TV%dl~re~9JqE9g2t%q}%*)aMlI z6=PJZv@eb6*6H?rj@Q5!e2*I(uWXgBTP8{zl_8(*6B&Fk z!aXd+=NwOsv_s306RvN%cP+x&$yJXkbrsM4UM(-JSlaNv&L_57Y9jLlIuk!6oMr?cRpti$D1E5 zj21ituH^s@k2RDwgmR7ghF-^5MN>j&ups+Uv$e~s?NHU7lJ}(n)%yC(hHlNv)iF*T z6{G(LJk3eKJbebgAZ~+^x6$W_&k*Tbk4Ii#d@{UdNZY*0@Rjiy5!te8dKk9XI9yrT zu&riXT~g!QCaig2+Y`l7&3&EL@ZNOFRIb0FIRKs2pKw>ed+|-K8TPR{Xy!(6V-QLj>O=`^+X zZzns?8v5Ep@T15Ymd&kH_SgHT?;pQFzYAU$#eevjtf}-tv~TpWbRQZGos7h~)>)%0 za~%;x7&+hh-V~`D1ab|Z8Z{s_B3QFhgK8C8lRn7IvHrDvcQ~AZuDN)pYd;=JN#P)( zLn1%^eD+!P5aB^ts&9_`rsz0x8!`m=v?yuD3?Ntkt8KBVZ`bbj7mYzpnH>pT2lS_m zcP!2JE=-00#VM|l&Ysv8H~`poJ=pH_=jbcOO~E0_Sg-!_L?4Im9`AVZ4CYmGxT_4j z=2`5P&0#p9`A-?#aicv>@vD>5m8nlN)tPI|O!IC15493hGmO;EwWFw;@PX%9|M>yw z-i_j?f?)n|!F#uN?wKN-Z(&XY7SAk9?;1{i0={?{d=mMJyd+;^{cHv1bEZ_|XuVvw zOh4Yd$X;kO8CYFQyDl4VI#xn`XdX;AhL|QsCsQ9#4x$HG!`yz$j>&s^&h)5r+bMAI z26H2rT8aj0B5R8(ZC z#%W_ri!7L_-5@olnpwJwAuqn~p(guF>}ECZ6`c&LU--Cd|0SGQ(EntRikAGU^HHSabwk%8X%# zG8WN>P$Q57lymexoOwX~jAyK;jKEh|YP8EV`?c$h=gh}VT0_2(ZoBAeBt0nv^Mv4~ z+Yf#xb0Nipc!{~=YJ_0j63le739W8V-G_<3a-UNlxQ^O)+XR+@x@!u&`9;H+`azAV z)(PrU=6Sdf(Nd3rKR!vJ#TZWRUAMA)nFovFUg!hoQir2ttVDAG@8RodVi^JXSaV$)PJ&&`6 zWusojms+nIdl;_hCE6-=hib5TjYgnbV4P;|w9K))xq3mrDLd%ptRVhGlE1x9{wS608~J@ zVM<$#RcWoT1vn<+V8@j4mOBziPw|}XjIZcH>R*sMT}->pddzvv4q<);sr-H*vwSvt zJ20r|!oA#i%v020Vxv`RxTKG?V2*NUg5!g2ob8x-jdrQh*4|wis&3VU=rH3r+dOBP zYbJbvafbg?^bBO-mU<5MJSLeg`Yd1xdh_0}A{lWEGvgP-ll~KZM*T=VNV!UcT3>6J zZS(4<*9@;yHQi`y>6i%C$pXo8cQbDZ`xbjC z=Pc_8Z4z<_Pj{qPrs)0DLzUYVq#~xXKsB?gOW$Oc*cl&5Ziu0D^piOR>V_suy*1yxogRX;W<1VAd6lE*M*btNQ4(xk3V>R;UPGvMs>~{~ zYn_F_KTyXot676sBbdd^6YN*)XeLe*(tEM~;q~D=Ij2B}`D4};?rAQA-3r{{%@mke z=(ugiuo9w)_=eS5M;guGDzi=5yKO_us(8vQ%VSbGrWApfP?d5P{KNne>r{?6yI zcY$=MsFlkCX&~Ln3|Ac1WskGYGD*Q5%{)W7exD)G^gr_qa|TejWOgb(8TLZgFy*}C zZjk#Kp*I(&pPVj@ zYn-*g_SdoBH4REb`?F9Ehh58Pp|55zm{;fzsY?(c^&)*TqX)Gg9%nmmT4DTb3^VJ^ zL6&x)`2?HB8J`=u=2}a#?SwrPJ4bd>zcZ`ZfMR05=h!%ToLEj9dp`%|aG75zTD-}b z=uE&ez}WP3Vz#e94!i_B@6T3Xr{iOxKzIi!bvbR2SS!46>|u66hzvJEbwOs0H> zuaIx?NTL|7rj25KV6La#q)6ZapgMM}{g>k=@fk^`z;F+u3SUk3fY-twp!d)__yL>_ z{lp((k|YOhEtFlYwBwU7~-hn%I>pu4D@;5#Ql6Cf2S14@kow!vW# zgPZ{kqzF)dR7&Xumq7#Ir@$R?AtNa};f2H$Y?VV`M{Sp^EE~^$*dAx6I#9d|{)xT= zQbB*#S+0#gRPcefg1eiumz7LcQ{0eYa6f1lNdO~S3a23#U>bZB-UOe6{t$`yP;8vz zo^7P9pM8MiyuHNs#?Hoet@kdW&#)PGWmw^!Rwt2N1pwq z^CP|j%0xa=-=RWUJZ&G%i*^{bP`^+Yqq!)I&Z6W2Glc@G5aTFiP!=&B@8Md8{pV5> zx8Wvu6gkcn4N``uJIibrEU^}|^|#}_>mZqne5F-0J=g*4Jk}1N2dNmh7*iObiMTqQ=&D)aTFg*=8|zxfA|K)o5o>mXZ7Tge2(xr zZxib_Z4q@2kWGB4Fzp3>AR}+W0O^eNj#A`lwbW*9ww}WKbs1Rx(#|GWq@7GDRmv&B77< zUmTLfW<3Vo&yT6g;2HQA`xtYy!Kv%7f2EHy6d4DbGc4uSLALw$zt|)o1O}n2>D!rB z7L|RNnL>YqrhucniMEWUM{}rMlz1>kWyCqh0dt%Fv_8eO)pi#n@DapYybt~fmy*2@ zZ(1E|JwL$hgV2w6hnb6JB7s07TY~hUPNLq2196FcuKAVGY~UE5fz@7zMP^%QTV}gp z&%q{=11bKr^^DQ159}`X71j%eoZ-drWbC1jr{Ta}lYk2O*PuUfGwFfl+SUMn$!4GL zoQG+#0?Y?n=zQx8alIwh!%wKk=(UVZ^kq~xL<5Q7pOA+938eT=Af#-EBFI%lIBvz3 zU_&r}*Cm$-zlhfp%b>-`L5hXKq&87HDYq!kDN4!>N;IVq_5vzqA>IoIRdnPpco<~` z5==hB1~|qzl7Z`U3}k=~Cm$1I@cph6xCPpT&STEyoa9upuG2pP`N{(I1!?wW#52N| zR1&vw2e#MAavpH(7b^+0*4gc+0>{{Dr3NLu{&@o({BL7fZp6r`sq; zf!LBp5mTa&DxmY#lRt?h@b|Ld-N-DY2J$B!V~ZTXZMVL%-EbD-y-5r4n6MG+$qpdW z+(YhA^U!?o8O|mx_ypYQ8i4bNI8qFmfq~)q1i(1Owud9yvCe+gYB60ihz&=LCFXrrKl{JV53U=)TGbHMjujq=wN~GS{)?+*q!NeS^M|iXcZxHeTpVbZoZ2w)M6yFpoARm^WKX9PjWg z@DD17u41fbWwP}gH?D!rW({Yg(T~&O(QB09hy`{7Rk};T^bEYALn!u^zKgo%>ue@;>~K@{a1FI;cOXL#QjL7fSHramJxd)Gc5`ofn87-k*q$e<@GJi2Y zFzL)~jFa@mXdQeBmpj?^Mc~SO3>cJe%wJ9KjH`@$O|ceF+a-HBkn`5NK)WEc2?;|l z(*MUyW6QX5o|H#$4uiSv2{VYnrmdmaVLOCC>xc&~2DaMq*f!q+`Wwvstikqp$9)IQ zdEI#r_-8y~9T^QbA$;m%u#=ffIf2B$d!PiMFtq@2(+aMFfzUp30Ra&LLJQ7SUtqmI zz*e~4;hw;*G()?9GAE?;1TIVptpgo|exr6!#v)IlP~bonk`~}nmE*TuC$ZJejgF^w ze@6~DYU_!MP+!VlG>Flk$z)DuY@>goO`>_wqR^KV4}=S;!LCa=Mt9jpBzalOH)u4319{5;W0ro#z{5jc{cs9ahgJ&BH^ z6%;+Z0vZB*I4bBoqQMj3jSvL9yZ`W2#07FMP#*h18Ki&=Am-o?U8h|C;5UgRNCO7| z*K{cGF0arA(R!obDc6x{NExz#a*fiH@)%hSqtGDYA0VlW!ERyEu1FW^n&kT7lHhcF zFc_m@(0OMN@R73p@MrdzTfli~v(k7r{Y6&p)Hj+S%by=KA zj)C^?wtsDb*2xXiC$0?Nd2E9e~ zqD+Q+K@yS+-or0fAJ;8xt+UX~u1@S7b^%+2g#i=t z8@9;B#KHXM3I*<+7FeXg&>d(8EJRH34mbvW14Te>4<& zz&aha7TR7rvno`(SGO zMcZ^+zHNfNAE>mOWBX|P3dXGsv*LrGvB(9=4@zIkC!_|MPnizxB}!;BfL>XNLe%4k z4t%bW_+qTm@z>6^kFtHRV%CQ?p5v@@fy;*|Bv-&Hq#tzxiqK}#5@}Yn4Xp#W@u4&} z?KXO!icnU-CbE=>A?D$GU9T|#xW4anTm^r{FZ(#>dn^tpk`UAeZ33<$1Vr9xV5J9K zV#+MaN#qgy7lOfj7)|)$JXe3r!`aWF2Z=qa9QPa#9GIipNp-z(9mk&%>5v)r0zbKg z%0s82|DtK24m*!hj$DI{K=@1t`Ay)%AO;W)d^=D)HP};dkNE+^z=~~)>tC0r>k)Pi z8}B-Y$B-YPa4>U}QtneyD6c^3<8b5rx?Q4AKnj#g$ME zd4z<)9q&AF{Mzu@#73|l5fR6U-{ds76RaV2pl4}pdL3;fjS8f`SF{S+DVhuQMfDUW zvH}VwClMNaE}n=JuAi=Hu63Bv+2S04y~LQVKj7W~BN&hY%(Z5)F_X@B_^U_Tfv^3M|EOlov=ZBmnM9uE!BzU9vGcP>g|Ji>0~-fjKG=|A=oQ zMv)VNhxrU>kT1!{;5a0K8C*Z>VNuQlZ&U)EAeP}1f&J=+Ih>=gbZ`Z{i=V^S z;<5NDTtI9GKIAFb4_S#!Kq$y~xB>bX%7oU#$;e2g7G4Y8B(C6Xz+cY7z-GB)IuO{` zIs{I;Q-_7%UPKWwp4>s+A`_u{XgNF#nU9=DCV`*a_kSFn1$0!`7KX2k$AyH1KtgbL zcXxMpcXxM}LV+U1y~sm~7A+3N-9w0bGJ55GVPyrfSQB-TA-d&T5#9hCYObqikorsV~l60I+A-S_vi~i*t@xRbFRG1(ArquILu(h z`-}%sHq@`G23}d5`x}Ei$?gK48J=~XTihx6(DT6a&Qnu)tTa~@b*?sFFDDu~lZjNX zwi*)+s%({?i7mt{!WHDxUpUY0#e0a;hHBrqDRwuQ>aE^Q->PMyOU=}+Y9TFMYa}S* z0=cvyOOBTgiBa72yifh0bWj|gRotyq!sB)?@(kvF$k%#Hu^Kn0_QM-gmwt+yxViL! zIG#A5pS(nVA%B!N%Z=nO(nx8o*g;UVk=hFNv(i<0PDMP)^EbEf7S=6ja~E#xOw&i} zL-eD}h4q)N%J&RyiPk?FrWi_r@8-!SxgC;}j~tw)9ODk(`5uw?d8t(59?_5L1nma* z;^xyQ>R0sQXnGOWaY^*$hR<(OdATRkB__#s>4;<(p9)X(0(t`XqXz0jbzh;LP)q2l zkKxwk&e}oktmf2O=;egF!Yl4K?ugzt!D?8sD~p7d!Ukf>-_XVj+H0-5o~1t(e&g=k z8{AVmQ(h?#kh8fNbg^W`2D;@n@+0Y`n1$Bo7sl)VX~VS|+Cz1+dRcLMI(dq+i-qv4 zQ?ykRv!$L!Q!yn+VlcJxvLntDQ)4BIo&Ar{u@Ok1Sc$YIVAs*2OZC*&;qh(6*Q z;iqtpyKdj=JM?CHG-o(l^Wt9Np=vc=cZ=6m(u!&gk%ne^2X4XbEtC|uiZXkciElZ_ z?aDvMmrT~TYsXa;>v7pr2E94RP0*UVq34z7gi>8Sf!@bz*YQa;kk+G8gj`uJDo1cv z?mS}J`rR5Gx+7SyXss8F=bt0(9CamM8-b!fU zP`u6#p&8j4x7JR(qUKd!D*Kcn$}pb$08!3r)j)P+m7b~(67G;izAW~XvZe0w6nUx4 zos?1&siQbYXhU{s4L1~*P%e53afh|RbJ8=9%*hWB;Wlp9orHg^skybknfA1tciArX zmt_36(NNzIX84obNEh7c0YP;3i#0~G14@!zsKpmyVtAo+mJ9t-38?D~~y$=@- z3lX9}H!GXTtqhP0$*-j-Bsf?cFQlN^>-GEkOzx(>ijU1J{GqSn=HFWE{3N}F&Q03* z>#5vF{ZmL2k4vRxv%FcVB3%>Pi${b^e&abe4O_KK+>Crs>#0BF{^(%tKwimh%A2tQ zgV2v;p&VEvM{F)Rr3E}gMXcX+{P6-QO`J=`qUHw={f;b*0$qF{j+sCt;*e6M1nH-AN17oOlP-xjg%F{H-e0?nEy<&9 zRz`uR>T-whb5EA%h;m(htXQxjqsVBEOf`Ne!^(rNwhh-?r*A zG*P>%u2z>QS3Uhb6OqUvim#fb%GiZk!aN~T93egz%Ssv2eEFjMm)sR!u#mjvA+bEn zkIh0B&tcPS#3Khil|47e1CD^B^tWe~azMSWeb5gei66x7(qZmZ?kqQyYspRIa=gbB zDM1{FWM9{V^$l7rt)u$8^3k)ATc{0WKF@oiltg8MYStd&4|}82zfoD3Dh-zkOOM6V zXxK5VWqGL)d+INp5F3f#g&A0=KlPVdU#*2UTIe&(<#x*VGqQ)6MWN`0+mYGK`qW4H_tf1?gc8C7eJeL^`;sqkYuB`!e0|e0wU+uOy{-@^>;RYdl{QFEvB)ju1M(L+ znLm5V0vW@-V1zP~ll{3Ow&YCP2pPHuiL~Kyaw|>w!S8v%vF`*MH+H{AJ6B29rD@Ve z=^yDYeqPUw=yySvmBmV89x+ikB6R1K!;!D^RB^t5GfRs3Ii)j#9jR^y6743=VeQ4l z(_{lyfPjzV55j~ydTrgPAJl%=Hfnj;n@-^5wqVwg*suS@II*r&QHqq}u!^;Lw`9R3 zyc9N(pSiCe(tDtD(fT?3S`eq$K^w2F0%?+dWLmZkS?%-EbM7T z;hH`}Z>Wds&$P|j7qVIf)%VzhZ_59aY|cGJU5G{O1x}wN+$O#W5&Mf{#Q%v;#YA!^ zQP}c4!d^bx$erYC4{lUHsoXw zdz;1z1N7I(*L^LCbNik6rluC66#^60;HSJGp=W4hSG7BT253`wu2Y(qURN)zf8dPI zX@@nl-b>$t>}=Fm@H8Xz-^tj_=LYm1dR6k$U9}u_q#C2FA!k!Yol1toSBvC^t?yc8 z>{#w^kKuLyV9ySLTUYV(bbY)2UY7;8{-<6Ie3qtd(fVsP?Y{bl`VWtSNAgDmWsbAvf64)`O?+N*Y!=M{Ydx@vt&YHdTf=K-?{T6;| z4R@tKL&L`k{pgIz#a*%b9<*YXJ`8#OpT3Rn+`rG^_lD_J(DK&$LS7rMPh>t$s!&Rl z#ggdAMRA05Q%aGROFq(Wu^%4iGciz8Ay{~>Uqm+UYe$JX*5V&4>wl4HFCx?y`r~cV zg;~T$2gT!9xn<&Hq%)dWsxc8(10-uF)x>w&d+joI`aF4u`uZ8Yy6`{2%xBgVJ;>lq zY<#ldkLFJlSBQ&=41GmPQ&_cJ99dL&Mb_*e@Z4uLNsUATz7QR{^p`>z@r)QQl^~)h zfp6V}75hp=)`Gw=t?X?H@!O1sK5>LmR}y{4SuO7x?GLiPI;`s7JPK>or}Lo zgQUjNLu5Qb7$um|qr;rVX6#KDy@_5zm-UaF-~w_b1N9hv6*lEGIQb0zeWYAn4wm!E zBDJ5^Qa)*hxRxk+tzKAnpgSG)o%$&x>pE-tqQ79>R`z5+b-_nsEiB$-e8Qh(|7_sl z7p$X%bQNUpLuTl(Fhq#Lu8+dk1+)7DIPXpR24ueyr+kv!YDsYd@A8(f3s}+7Vry)N zulN(3yGEEmeRLUBmA1k};@t6Alj=y+E7tH(f38;*R+2NS#QwKH8)u0_c&8@#5sO#> zi(;a3yordZpYSJMp^{KcxTvoqBKk~DtOTFEjjZkz5NcJiw|Ge0L;lkt#)H!yh&_nw zi({w!#R6hpY*ZkK>9X*LFh(ec{rk+$=~R_o=y7_6o}#;%A>U2dDdY%#NT7o}KxMHF zub9IA9pU5#5&dmMVn-tRjrE%7>o~m~+IC+5hGr$``H=WEMEbt0x+AveHAvzgEaWR; z2l}!{??e8yvi6Zo$0BUUzkFXof{JKOw0_uy-I}jHT>qgDm#@YeRh-4hmYqHnBk;yRNU+1$QtFdox z?BZ7J$75FD=2K;|-ySg1dG)M%L48jZzoT|tE2uBWE;bZ;@FYis_ktIBp0~u|UgB}| zC!g?;97_k?uI&Mp#;PyKjO3_BFzO(*U>#P~!oK{@nbzdIpAaX{5f`AhmBdI<5?2VJ zWJrHwA9JuXQCObkYIEY_RD}w?q7!FLSLdq_RSR*WqE*M*CF>Eax*jp*cBC&ibN@kq zhZfZ!m%W-@=&BXb@@wt2WkdmU;~`Sqv@tS1@v0XI;+krnr%wgbGNnleiHaENIm7 zr-R$8a2ogZG^}zpyzLIG-)#Q8f(0}ab9LbJ+q3^&!1+!v#blw3@D$yzN5*UiSY{0I z#!b$Aj;`qIg*5c9H}UXfer?vkP zl}*#HVne>@nm&!VI#3)S-sfKM(_qri(lTD%UV2S6GZ}4K4>CQ3pSgnN`%8PKz0+PG za}K1XCK@@0{LCe+*)*(~z_Slv_llzRpLiWNa0%ajdA`4?d#Py6Y4Q*W>N?_aI~Bt% z_@Wp3SK^yU&{0otcxV33i`{(%z8eghC_(i&K#$W-f+@CYU0Az6e)TMV=NeY*G(Qj5 z7vO9E;&f;8^HNqb081H*ge=xZuvgc#GrwLtTU)68sXf;u{N7SM8(B4h&AhNYks!!O z}oq?{WepH{~`ym@|RY8#KKJFHBYf)w~+iHdL3}j z4D_=*NVOL6WIS5>pZXcB(nVXr8un^W`0NgRZW$!vJT`SWa(!L+fP^l>K8NA2DhQ>8 zzrY&bw53`dEdpKtseT~~D&xT}aG%+3(A`e#;xXZYaF3tc6Lq{{7e`{wL5GXznW(j?e)N6cx zH+Ha~p31H#Xoa!0tBB)L_1DNsAz>sd9*lQhB~}$9z-@iSdg3Eiw2$+yF8B(mSab#> z3e7n9<@<@wYjppDjg1v1&`*vA)| zpWd94pN93Q!RI6kbHtxwv{WC|F_#QlC1RdBQf;EZc=qB5S>B8MRuBA6eRjJww(BXF zavxc={>a%h-o23UJIHAkc5N;ZOIM!RBK*`vp{(FVJ|u>?H;<4*Cus-t=_iQF4??@y^4(aB(9g0ie( zCVDkhD9*d|2jlGHM4|*ADzhb6M?N8rXX&J8vf}<=jpJC*x1jcldO2R*mYl;N{gd95 z$juCH9gZiM$XRS7SM>$?Dl4hTR~qQ1rEm}b`-z>)(mL^~EdFi}GCiu_)dTqVUBW}W z?scA`5)tebDlA1nU-`k(`SBn7vCi|c8AcG@E}m}z&)bgO+KZR|PKIh6SmmyG7TeH~ zSZpKcVF)>&8$_|A#4kwUX+Ap!lv5KaIE20XssE=-Xxk!Ce{V4u2?~+|klx+AY8lcM zPL=5#UTY!}Q4jpOjL#dvGXzn+aIo*W=bNL4vd=w08QqcglEk6?h)g8r4E%8UI$BAk)!U%w5=wcc3R5NVz1I@Euy$ny7(}F?}rO zT!{Z_PFA5m)vM*|OsX)YsKSgV%k87pC4>HiDt{BAgdilRKGv%%sHYr1hpnpI##oiGRDZIw^*H0EScz-MXAGGqKQV?_-6$LY zXSOHH=cVrgRXjmcAK_Hz54cLMyVbe}jH!qf<@vMC}8)kOG`sWwHXZ z!F5~7t?gw8HnI0Ap7R_Qo_ed^ncw<`{>;=mfst}QIhcxMJMd&4{&hWTNTzDL6P$FC zm`+1#Zlj4?@REDM$sO41a^&qk;9ED7f3L^q#gGv=h<3H-|Lg}Nw8EQA4IlNB?E7-$_$x@UC*EQiekGb-$qCri*1XGfFvA;i?^Vcbb|(@! ziltu4KFs9L+ho+fVkH$ZLVCwO$8j=c$daUxx5?DaLJ|>0H*8;PG9JDYA96ZHPiX68_1_es7_q}H`$c3)V`j8 zT`OZD2eQ{S$uu3tQV!yDH-Q*-a*B7z5EzAm{6+YPbs zQM!pd+;gIv8^0tvH?E$BEqjA48jFUt0o!~97d!DOb2T449eVA0;;InI+cD8X56VLu0wk9da7n8$jf$uxJzjuj?T zSQTm5N4MS)EflSa2V1tnJ2b;mM6r9L(e@jh=34gOBz#1ICiA_KCn*GCd5AUpk7u}y zJ!{22?nKV&fQ}txd+HI92O-nl@pfbJ%{P#uBcQp#*Y z)+=H)EMhsl+Hf*mQRId~xf{MIJ%$m)h_^w_4bhIHc(58|3g)rzVc6x1V5aL@IkeJ1 zoYD@P=cdb{6+U)771X(8WwOB5H_(XrtgQ`RZVl(~0=eId{tqCk8i`JqLO<7FPhWt8 zyP!ELQW{N^*B7gD>z6h+z&};M*G=U7ziNj-S9i2VtUR~Vtv+X(i&*C%Q?K>>*vXD) za6ZscF7o;pS)Yw>Dk0niQ$}M2j_~Z4IY|q>$~(13WD8G_vzSCh>xVW7iMfhj$kxL+ zwZ`~Zg?MQU*7-WS7mwvAMP!$$g&_|m@%QcUv_-(Mb&!BvJXI!I`H*vJNrrb1XS$Ph ztptG;N9vxF-@Z~E2Kv`bYkPGn+Wx#i}uupVN;IHQ6#W#W*Zz7W$*ppb+vx+S7 z8m#CT_B;uBZH(m41(!5n2Ww)XE|4*qNGAFWoSC{vd12(d0&&G+^0Jf2Om)HbR3W2P zig)}%XO{-9`hr#@B9q67`Nr_ct9a@pVy|N8`$;URM`(fsEun_90f{I}?z96DLOido zO(f(bQZtkNm5IDv`2I*R)KON{j_2J(yzK@rXZ?yG)^i^B$uT9up^8O1(y_QYGSZp& zCa-vvoo$cRiojc0SvOY(k9eZWoPJ&2s{;1wB6j%|KCv*_#v0^VN-5hwad zyMk11BulqRn~HTQf^<9dYS^M4SlUc7AO0ZkHrV$i_}PubA@lgz7Ym)6z4HCl!8w&$ z!Fq6CA8MmV&~IO)rWJLaazwn{Ktzx6?T5ifx=@FFQ5+T1KyeRtf1uEUc;*J0oJs8S z5%fQWIAnr0nKk9+udLvBk5-GgZWlR>OIX+W__m|uh%aGh(>VX%k(K5|leLJxY#=`? zsA(tKG8(J)nUlUvbo&S0u}6^dqR3kif7+<~ECJyz)HV>gwELCExJ~YHFj@N+e0qN* zatPl0E3tiNoFXXqyWMnA+&a#cN~ zNo4IUa&0;y^2i^g^JLLopu9`y!F%@Ux|Tsj{~I+ZFEYP^X4L$&(&*wiaOG2aoJvb0 zq+Rs5ew0L6l}gIpWLYjR4G^cZ4>8&^>Q2ej^{cxFxQs5*)x!17wb1>;z11^QnXK;7 zx(QV!FT+`*#eC7+#Inb-!_vx_7SdER+oEzb9Z;Q<7*myli}_` z?ilxfo*6J9&TDVTy)8$_io*T4hJG)?i*M%%&w*}R5vf%nI{zpwl+A|ThA#%UA>4S* za2vkI1uAbD$m&IM%L}w7%rrRR)?J%md*pXjpfj_h>zHeW+oZfvTj(Ez^U@^4I^%3p z9rJ$k8FRdu7Anhoa}JZ>N6S^kVftfrxKhq@&o#&SlgWpRa_Z+~XBW-!vR|+ta!hx< z_4L-p3r(f%a+ERElxqIRVzySWUWS2G!yIM)ZZerh8~&39iRFc+^p+)&*DkDHQaUTq z%4T{3?kiiA4Eh2tD^bcrI-3f5&bv>#3({@amoAMqFSn1gH?<$J-*=R8jdg$a zJW>0@>xdD~i1p~h&M|Z~d0Bq97PaNGHL$(5HS;>^RmQuu_c^a3wuP1*rW1y-Qi3jP zU6s7<>5fg=oif8Sx~CsXo5wu(f|*m9nljGSPZ^@Ok!l*tS!AzsKDYfE``7Vb=jY{H z6mCR>rHW~yAzShhcWJjgrCbvo5A69I*BpMX7M?-sN9~9{OQfB@z62EQNyv!vE8}ay;}*@jdGwR&3nK9^q`nL)kC+2z6gm585t5A zQYU0p&^F&TmPj$my)^q^dhygo$tx1uB^--C6kj~yX~KylEp=JuU3+uSBi$)yn&vUd zWQMJ(cZ$z&zf%57{ObAs=RL-&ge}1mZ+>r*Ox27*23fu!mK6GF<&=4@8+LQ{p^Rl| z1ygUNbW2H3`Zw`X;+mw2DG$^B$*Sr4q6Hb&Sf+TN@Lw1_CG=(3`h3+QF6L9iK7=01 zGc4$ce}=ck`meEqv_$jr%y!!C(e?=YI(vZQjeTlP`|PBwQrZ7x@61_XuW9$sS(x=c z!xAT7#yfUn3{;H7;BdZnY95Fa7CC{CJkKP+i zJ9QstyR^9H}&2Yd=vEM!P_<;{JxmD6M4A3nI34~=({bXbAi!?UKH6` z>}l~8C4x%EmDo_cOX1ZKM*=PyzbZCIz03-!uM(@q-;1sJ<5f(nAM<|RNk~s>k@7q( zHS4`&io3Pvocp0`IINs;dJFluDcthR{LnngvdY@q>!8nGzYzhYgBAw|l9CLE9`9@?d_AZM`qp5lI-8ygG4{`INL<8?zSf8bm@ZDQ+cdSlV=!w#2D4% z>FD0(T;W{h0i_tWo5Eo_>@)8+_b_iWKd^ML4YQTEIxS7ShWW&J$(D-7^73FYFa78J zv?}z#dz5}kcTa!!0at+QyStLG+_cJC%i@q@wbSk%j$-z=j{csFbWdG#bF#A~8NZ%9{TXKwC!7VtH&fAHeqDojgB^hxpa_cwT_7@r88)z)-w)lkfy^3J!+ zMEfIWxa+JMrPo$=ItFJxNv@ahIH4Bz=s0ptIz`VHWtiSn{$`H!4)Ko-z7oDP@?F%$ z{QEt8oKTf-9CyWO8S)iVjycq} z(sy3)jlAygjQp9=Ckh8eMT9rY+dXttV12J6Mw#Bc4dMnh%h@{Tc4koe;#0GRFwb-T=lJPNws7SFbA#{L z9!b;HRi4J4!LF`Z!AUJ+yL?~$ZB5MW_(XIjIqg*Xa#!j2;>!!X3;5e|+fY%S zp^x?a=WL!`KKWiuoo@|en{p%rQq-j6#0tqHQxBwFO3O|cvpd^6yWC=9|N8kiMjH!G z%0D=tny++$ypa|2w+{2lQ`>*F&18%gZ)#JO{hp)hSt7#m%00*C%rS}oe93;D@iOsk z(3iX4H+?_xEjspoN^56p;efHRSB;?Z`Nl={jQ%&WZ{BzQi+m>g4Dmi->jO79%J|N; zI=x$}ly%cH7bNnJ=Tp|hl){OV;wy01WU+*dxTK_%Y*iU5ZZy{P^7g+O*f?}ofo_FQ z6-td*9^~u&)$+j7+`8OivedVRS!){mfJD56zRJ0rJE_iiYmDv7`%fo7E`0y}?bwf# zf434Pv!>s%@MpM(!a#XGABwkE9@N}5YW?WnPn6H zy}G%T3FeGvqJ31(dPkCLvHOif${Lh1G46j~&c2!YV#%wUpFYJMNso3W>6I)~eNP5; z4Xah4anzOq5AqEQbLQz2kYTGWCA$K$TV+1ad8YhnSYsV)xhC#(UCVr$9RKrhOt~M+ zVmHJ#i)|kFGf_*Km{G(rRP^)T9yO?JTICUyc9&Wo^&`&|-}OE_{dWWg1}^ps@-i5v zE1SRpWt}fQL$on!Cs$l%&7^K$pS^tXD8v25wM>bISF%x89r-dF zr7lXW7vCpwK*pc${?aXDPh&Ufk^0(ECu3i7>7-qWD-z};<0=k=eZlSAywqz*pWffYmNM^rC#uXsYqoZ`MkqN1vW z?+zN{J=@SybvwG|49*$pl+*xWfZkZC?ktg2D~OYFDU4?iFL{Q0AO%#PSc$wi!F ziCH?6R-gqFXce--(@t29jTvT4@THOY?>{ulQ(VRzcY>^nJI zvtDKt%{-qGm(nD@aBRi6#wl~Niz|yvw}YM*a75>g?h!R9azlX;`6os^39k`aB;bN& zjPSyxWuM7dlTpu}q8%^~@k%l;6$ZL`XAVd_^W$qw($58nOOq3m8>JS^8t(p0^0Pe% z$Os=$xNWJ)Wj$qDl^Vr;I-_mHRsZY_X?|%V(yOGuNv@pmDK0I}6JIWIa$?nl%(yP` zXAw6XTa%5mx$=aheQ%BM|E^ogGpe>iDtYPU2?MnlI;@o(Eh|8F6w1)__l zmMC0md#T|i?-XImXV5n5pF)Udp5snd)AZ9Ra^k3%mYwRqyyEkcY&NOLxfPcZk<@(op-{40Bueyz^hnFu?tVz`O@N>a!y)&dxcdsmGN}2dw z-~avk@W*v<%=_%j%sv@%dcKr`37g^;B zYt(O!^ekKUZ;q?(j&8+q*q+}x7$(F&p1PjX+AhO*^KN;9+ROdO8JN>LV@^h$oJLF> zNy+kLg*ZBS{OHK|AS4?$S|(ddSoeCZ@E;shJ}4xxM&L*P8eXLgRmt$w6vk=OTurii zrM6D`5GVbZ6XTU|E8SrK!!ge}(JjEe^3wZBz0IF|b_E{@>k-i`|G)V@hn@*+?Vrbg znQu3nx9KLGB_+fb*oF~G4Q-zgCY2Yv>A`A>tEBxV^Kksrt|$H(`!VLl&&Z_x$wQNh zC1s~R%kJj#Q>F+5t)27iDxgQrEKn=op}esn+e6yrT^t@C)*$3JCgkNeT~sUQq@~_S zN==B2YZl}6t=G3R-`jp~@^#VIA+aY@9y)5sZF~*{P0r(+=Sr|8k2`o!@aLdn!E5r! zAti$Rz55yN>P_|4;soOd>r(GUUe`@Mgaa;1c9rztv;v&(gq%|LUD;hT=BJEE92SztSV-FuYPWI7-f6`pHl z)G&7&d!8)6jJxT}GUTi_ISZT*y_4?Xm<$*Fv zPm=PP+F1@ULom&H+vmIgX#Z>8U98Dwhvl7@*{`Gj9G@wcvhp+ae~#4|+fv3Pmq{6) zT0f;i!r>pqW9I(28oMCwbCNkL+}%mbpzpbLtmf3h&;7J=jLr678V|z?{vPb zu;-z>Ll%W}51AC4LxhWzeL8(r>apZ{NgEQ5#ubZ$G#B*=3~HVCaJ~Wg^G60o zM-<&zBC_P$Vhsy5%zq}&8J{zzXX1W!k@HH{!}RuP^;7C59EoZEdDDmZ55kucO!S?V zqGepou5aJ(7~$IEo~7&(XV~@zjSEZ7*Cb+OzLdPRLrw)(2&o$0K7aX$@*xJ_1k-BK zs%p;1IY+bJrk74mjyoS~iEa6#RLtq`{y$d64@upTr8x#GzcDAFhq;%nqR&ykS^>_0 zD}jN*;d!0~e-Dy_ss&{E(X|==F!!e6Ld8hkbHfz z3e!Hie&-!~WnIg>m+8tHU~l0Z<~-|I<=Epq<_=SOz&|VR`Ra+LD=$X9N@i=lZj#Oz zE|_%FN@E2>3FZlOFr1LO(;L-SdIVSLI=RicOu+so{VS@lbsNaj4YdvXq}qayy4!Wh zzBNa-Pji%V9d!@$jPvw!e{|M&UU02d{?_xsJ_wTgh)tL%Fh*}G4Kp^k{IJ#X$@UrQ zebV|TQ!H*6N=v#r$6eM{%Qe7xE@x2Y;EWNO(b-HGvfG{OJYCdqy3pF|i{LmFqR;E7 zyx%y)T-$or7Vb68nr1fpiZ#FixyG5<>6IsH>ugnV*>zFqC)$#PAF z&zqz9i3Q{%#>p0^^&jgDYlbb=dxCd0Yfs|?X`^^Tw+Y(AYkjCpuhow;v1K5frQ(K3#sTJ`mW9kvt70qX)x+jCpD+$Lgc_>I>xCrcqHCX{ zk-dNR{>(`koin~>w$1tGxaz9R+72j()Tdfi;Wm>MIvd8C>R2{e?wIeIvn_XR1H23Q zWRufUy{6hiO-J;BuFg5Nv%_-MGiUov&YG;j8H>}mWCUgv%vs`?#w|0+QUj(cm|&)s zk^9O~2D91UtG@4fzdOF2yf0cem9l+tAXo~bX$qh3QcrW)6nU6yLLB3`PO)w`)z zlx?)NjJ2jE)ij5Bjl1Nw(kH#NGRGNhFO+j8`*hZ)jE`yWQyZrBO^?X#r(B;0c8Vb_?EEEGwu>^YX_8IPqe#~JI+18eb&(` z`$%RvrjEsXzNwYzr(LdF#hGN1|AL`Y6V~u@!)W7dW3=&(G1_djbT*eW{cSvDGFm!W z9+?iyPlQw2Djay}*21f}SGYCaa08Ftn+}RvS|qc6+IfDupK@vTO?wZ=XxC9sBplRS_GpT}M*Jwx zH+q?#Gk>79d4)CIw#DXSoo$|H+Gs3eh?WP+9SnyJ7Wt~)Q<>nZ@7R`O&AFE|fq7Js zIghjR<$TSSxn=Wg)~lRRuI_4Orsgy@b}=WI`|MQyR6X!e3Z>w*jS5juAF`_ ztCzi=bB1fYJIr%{XFj2Cl%JZ9cs=!P?7!N7oZl>;V6Qe-f9q1KY%5`#ZS8NqCx_{w zo?T9dBg$FbHNbt!z1=m`Q7GG$J~eGy`k1W2_NuNEo)hZ7T0Wt_)1on~um%{G>j z0`yI4lsZQBqpx~{+SAj`xh6-+TABT~{f)~@^%cCBWLIBGq4zOT{KS9VHC#1ET2-^d zFjh>Y-z=ZDP|po3&NybkA4kCVS_c!#VjKKb*;~ zP42GnF6mC!vh-VGnq17((bCnn&MV2QnAZ<$xV5&mitUZ9l4~!FGrk^x6 zHJ`Oq* z$tEXczRK8@k(_xJgi+YB!%@iD!?n^At#yRcT^8<@M{33$)o^T$8%+M@ho&dS4lt#U zFdea#bXH%3hkEPo=vwOtw6D*x=NxCA*ilE4qn2}+>z-$i_EIcl_+mV18e}?YtOi%T zg?WgzpVw~hmOf*Aj(b0{?Kc-Tv=fh0p^wxWsmGXkch5c}+b647mLq$Iqo;eF5=u4C zs)xe(xJh43wl+{$FSRw?F;p@XmV=~j)H*kdSz-gY#Py^)VqHB)iQ!&5v$LkNsH>X$ znmfbo&zzrD?)~m0&wuJ}y)ZNO#?cwtUoy%i4Ks}oO&`o}E!VA6ZAENVtf7{C<{!q= zhECEh;idLO+2G#dob2e}2y{lfdbxLc4l2iBvcA^}3Kd}ccBE?hH$4Y*#_Cr!U0XmM zcPVw@DRf|TpeCD0=K$;{t&Q4A+2v{FdEtpuM$(@)Tsfgk&_cz5GN;chsB8549i)%y zvG6}}id^4V%e2U}!nDCO!1RHcU}Fu5aEw=o|I>S`bv?sf+njHlCighcU8S)0hhAM= zE2T-JBroZn_=EbDPFJ8x<-Ruc@>5hhr%(-_tCyyp|CP0L)`qF0lo`z9E9|-F8K-Pj zjw^0ur+QKAAvBiC8AdVTFQ4g_ajPL#`Xs!j>v*Ur%Iysg3_}gQ+3%K*C$vDnz(*S7$-0nGA3@lCs ze(h5@_&1dl&p~%L*FVlYE}J`wDdIoLh&L3ji;FnVZ_-KmkwG zc_r?kp;|tLelS6bmfUoVET*b$)R$8=y{HAyZK125ln7;tr;X>CXNyvmUiInpjf|rz z`NB`>K`HQZdSyc2Bs2TS}PPbAKJ;qbMhM$+g>o=%tu=!)D z3}@)KgcbCMn~*o9QiDPPP4uR#n|j6>=m~suEpK zU1i)>&jn96B~7WKc2ncjIL(KQ`W&gh{FxaSWepLAy0FM^815RP48C#>`{XD7N9BIB zJ_S~Df3*&*==$_Dwp074|4=17qh3}6Vdzz)mt+R4^RfPYK1FLwUM+^mgSFS_{q z(23Sm>@9ti>lufc?8Gb2Ow*ZJQ^VBI6oU=yMlHclP7{|ijhKlgicNXuiSg*3L)39! zxu3dAdL(5J+HqZ3txQxVDBkK@^__N7-y_@-i_7b%j&C$c=4f+Ob1(Bavyn`4C(vAk zyhM!E=W9vCP2=75Tzk3euCH^jvz4<1b)nhRPrIr0^xuS$Vs)vLyu`52m}4qssbPI< z4Ip##-SWh|$D4OT$*$x*~dEOJLkBz zyHhf;TfVrV*3h&a|Fp$X+qp&J}>bJoUlbFybczU}3 zakX(ZbB%F5a+P!cbbs`OsY93`@Kt!i)P{qwoEynk@jkafndRt{SR(mKd+C?a>0qo! z_gpSBXpA;qU86kqq%sxI;Es0Rb-(buR|NP$e+bLrM@qzDHscFpDX9xW}TVWP1(3YwPnMl)GX{J&a9OYbAtZ*q(#T9*Kpu zK3ML+dbY?XVDdkfo{H^-M7(kgjNH<6w){t*%^`IwzT>U?t9v1L3T#pzz+9hAcWszB zoB1ydsK}qecU3lRF)=Ua zR!(!z6;Bs>u8*kOv{}rn`cJ$_q|h3hQ;T^lG16V>3A@&h`7&e0y7X+mpo?ifJn~+! zd1k5}gh@iSKE8;j43kIsaRTo_cXPLHii%!owRI_T)g?gUev^YHD zRQiD=p1r`j&M$Nmv&f)kS9a2}z*ssg-$8FVH74NqYx zJF$^^o!wJe`JD+BU70bmmrlGh!d4jU_oagJa;B0xnaq&_d)7{`@-|T+$MKV1tGDnr z{!p7TA?GnxVu{jTkrdri3tN$@9--fx9$cXll294e?koDZ1bQS#!zW#eeQieH^c7Zm zlW74N^e9E3nLohBPWnp%nbUTi*tj{pNfk6%`=mx=*_*>mxlBgI0+0TIcoM#UDe1V> z4V}8l+==>9JF$UKPag{lvV|I^rYrlEK}cIZD!4V3)5=zLi8d2v@EO<`N%X%>r!(@V zP=aowc1*)NB7FyAR+KK&m7L3@UqG(lv+z=%tCdxAnEle#W1=5_6P-pi=_Boe{qI6Q z;bZ2&oE5wA`X$_WR*=aT`K1BeSr93YL5fdHzl$yKA2XOC(?J~$FLW=HlP)qztC})} znS+JtKMkOJ{wH1QOX!<6!Xz(5&)q!cS+tVE@XnX$pSurAm`FccX=KB#y;Lty(X7kV zLO)pZ4Yg+QQ(n=B{hHq6&de~GD(TXCW&ze?ippR~K_9x%xBh||Ge^O7enPzVK>bhg zRt__X<)^1K75A;m52Yfk*CAS8eZKIw_`6hs{J}suTK40QsR>>2* zcrEs+A}s3S(p!AgZ8{i_X`9un%;gcP@8;NF7E-R5tE|2Qj~uo`^u z2YqoJk(;9A+m>)vOqIrC|I24=5KDuOnW1(}xS$*K6IyevI^X@^H?5+-@SQdo={wKd zofdQyisCp>)?7Mz?-C6hfQNVzZbdh``$h`h@SVPhjlmoma<2Yj&mE;BawQFLzR zg%vOhKG{D0%+GwAIPE)6Tas?J&fv2IwT3nj)*9DRAg zX?KU?SC#WE&NR}Spp_r|+ip7h{IwzK3uT?Mj5#?wlq+mV_VDBf$@!QhH&tSahIoYT>88I}tt;VTKVdduNi~%I zjVEdcdL%tCVtnb5du4M>z@p z=XNZvlS!E#r8}slvZsn?p{EKHU8;kLzNrhek$N*and8NSQVqE#w&5dlRYpn%CK7$c zGM2&%Jm!5&`ewR5YlGx8_Wc4=nCF9MyQ-_OUM=Vu?gJAlf*#P^xnfx$!z0WSaEkdP zBQr)yNl$p{J@Co*A%E-ebB{RNa(K({Jl`I6?J)br{j@GqRmk#v9mO{ch9OQi2Uku``&#W1oYGw55sKs-|i z&vTi{kkMjIdUvDgjP-->aF~6{VyE__T@o5lhUlmf-NV)R_ZghvK)SB$z)ES!Pu)Rn zrRkjZgGYCs=NkqeV;J4Sn?T`bh>faYSNoxvQPOXCiLO}4Yt(NB(>L3m-s(@-;DTuN zRPg&{EKWcCk~ch+G?=5g{L20GkH^zf8q2#1^bq%ezjGWOTNidI7FNbd;+wIsJucDV zI{^mURXU(A{o*cMh9SBEwnJU^uMHf@nQ%!oeJQ)H2$Cp>8b9qpmR<^Z#7Ah05sd6x#N=W0rXQ!{^#|SRX8d(0y7nDHE~mNy9p5SVfhD}A z4gKEpk-0k9i8wtSCS3+zbU(eq+kSP=_NR9}kv`>v#7obaM|2BjO#~c?SbEzF&=q~2 z_+v27vW9a>W~DWl7|{gFD2xAt1?9yQmU?t1f2Uh{FOke~ULOaa;x#d6Je~RF*vrxM zt#_j*c_1g$3X2<~H^KhAq^tHR@7kHCY)J3;Fs#`$m@?z(06&dot-<^aJM4}(tZRf; zo;e6#;74bwbzq(O(p!I{*14-u-mnABE-h6y8WI zd*6m<3WZ@1MxTCOFi~etcnxvkOBe!ec#jFN$C~i!d~jPn(OZ3y*J^qJIQv~#)qJ=E z9qC&x1Xr&dJgj6+bv=F5E8zy5!-E9zFHu;hRpk7>Q!!aVOm_s0{}W_52e#0k^guV` zq-HTWC78@kAc)};&;N{lc!@>-7v@-dI43FC=x?B_ChSWc{P$0!B$pXe37IYnYj6%+ zfTNuHJ$~y8waDhId?DWFB0&#Xr8|kXB8;C!21;< zduK%NhQp8eAFDQ@C$&I`4d|!O^+_gvwB5U<@AU-LUpc?`YS%t_hU;lJ^AU3sb~ zCfzhcdpmQchp+)oeAFoHV;XDlgE^NMw$pETw`FwBPh$n0(Ag5~(?4KcA|aye-?1Kj z`0C8NEQRq?iQmi=+FYd-QS!ys>EbI7>LE5Ivof zet^`bYLW0Xn&1`Y)2$x|Cul9_f6}?UD>~ zJHcrh(b8eC7s{ilPP|V$R(Ou768+JsdvFXQSo2gY!7=vZGah9iKD`8)KbL5f^583H z;^)>gX<|6@CY$zzUtmJB@*(P@d@`IpqKIGClD(rX-za+D`72ljeAZ zLOi!!s0&lS6uk9PpuY~}vIj|($!2fEhF{=0C%||(jR&r!g~Hl?qJChKfefd>Ob`A@ zp1Uq~^B7YUq7WlZBbQa9;fhTog(?((YHxNn3f-(-^gS#=G zZMeDu{B{9$!UJZT^@Um98)nNC!2q^;N8Yp%K4Au#(>kE!dz|+(^!@n;c!TI z^IrLxM7RsvRZjQd)w?mH#ifdxQL6|_T?C`|A+yJdF?oC~oDdD}!Z;!!<^VGrBn->Y zO`L&8o`%25oi#rSR^v9L-$0(=H+Dac9lH)k`3dv!+QJF>SIcFsFXj}V6X#UL3hsit z@|^ce16w`8?kvGKR6(CJv9ed#i?(DO1F_w`V7Kjq@$?y1kuQF$Fe{%4=HALgj5U02 zH>9-(%!??jM))t;9gSrv4?ajhTmIy=^U=Xx>{?xXBaANL)P13U5dbmSpz$<46pn`ZhHjyehm@hgNx?e`bC!glzERJefYL<&#Y-=pm4GdO0E_^_R@PF_#m_dtisuI@+=V|}5*GUt zF$9gMha^X#ci+MJ;o?1ZsGN|Fx4uTUy$i8{FE&>r#tYLGyz4hOxEXL^3W8j6SEyJ*ojV`!0}lK184)Xc?6_%4$TdrV!s`}+sQ86^NEq=QbetH7$`WtN1+)4K)Bct#aWps%;!xi|1_pW#VQ;7K`19%x8HE%X}^VX&fF~7I)xx&)}Dzk*!}2hig2RWg_Q03RDuWH35%) z2l0#s^DFrLnq-7$@Y+M-Yi4fd))5kjKRROPZ-I8J;nfx*ZTGSCRkUPsM?08PldM{` zM%d*GT8=ge?o}@L$Oq5(fILbU<~pt;S{o`AVP57{kpCwnFB$253rE(?>pQC@s1}za zyS1Ji`wz7Y>)S&0s|Ow^9ER-HU(C4rNZbZyJpO|(I>@}Dxp3LvA}{p?fe54=UiJj> zM}F4y6^s6g3D8fmf{j_#W)O}I?LP@`w>}o?0okBJprKC4az|EK1OI!Mz4j$yn~$B0 zB@Z-{38wv-#HlfBD~{TV$bT6;pp{!$hRF z3uvi7Sk?ru?HDq<2#KnUZI1r+XD*+Q8w&XfA;wvTMN4Bo%xEzGE$s0tkV68D!aP`c zqxg~&S;nVK;oozoA`C~m`k^rez$s1`(m(KhZoGpL`%<2r$i)yxu#QQBlU$a@j)n4U zMq-G^Nar4OrYlmFA1j`p9A7*P(@*HdonM&YKB(df5zcdR$??gU!o+Xo?a#yhvo4cMrk21sO5?XW|z1NYd#_YuZTe=VUpX%=q z;J&tyEy*lgBv_L<6W`hyj}$}0KSwCiQFd~3P4XBMkR z4~WvyO3{i|#-HZhOn^7l?0U5up09L#N#+LPCeL7I9oU^|a;l?7^RUHl`3%SGV3FRn zS(BZR_TugXSlWl6cstTN1YSO=UUgu4LHie`$Ks00zbtGk1CwvZ(pOmBU69ppVTF4K zb!(z_UCCQ_a#ja7znXuPT@M{|uV0jZF`oSr*4o3hvFGYQdhnju!{^F#n%_`CeICSM z2SK-fJcXJ1X)l~V3}ru1Q#fRro{= zxs738X1jJYOYoK+k0%CMyGTKujEi1THj9|X}UvRfqTrths?q_kM zP9*gq-+Fu9B??nZZ0&Y_T?6}1cE+OTCk*l$ZPrl?p_~4BnY|tWPadGDHMPiPbyy|G zapEVnu)b2HX20m@mzePn^sdH6V-L&Ya(|PSKBf%$?7{PkzWGWk zZ6_t)ixqsK%`%BNG*Ql;+Ts;CjV7OK?dNd}%Kb+2clmY9=VrA$gF77}&G)#XFo`^b z=^dvv=`hxxwa7nq)2l&$2GW}IY4V6e6|i&7)mGNufe}m-JDbU08fa&z!ti{dboJC| zAB-GEQU>xcyVA~AY3UKsj>b4fZ^v*P=tqpLfOx?3#x929fMa;n-Fd_JvVQw9q%I-> zYiVHiyuQl%t`R*SjZgo@mwyIopEZ^cdj|I8dGr-6i{}Hh7t1?I*Y79e z-;v>Nq%XT&z<%Th9rD^G!c`WUPJqI>NlJLRGxhvwye*yhNp^L-#M|A>-pmpEsZTd^ z!kvxq;aOfo=_IyLM%n6<@-dj;=f>kp+9_ZObomqOD=ddx{Gd$oIj*)VakNJCb`8{h zoY!z8w*4D_>RT+}dt9d?X8JxY%tenI(T5(|auRzqhEHS0yD~PgQ%ZHSV+yb;mto3! zeLsQ@++=UEv%KvCUMEuvkmr81Yd48B3kBlMAk%9w${*EkJE>kzM#qqkV7Pf{#H$cE zC;xFG8!^QEg4iE$g4b7e8qZHtXX?8&B=uelX%Wl0Pu!xZI9+ATCl^lm2ZlLHPc#)< zI|(@^YwgzXzB=v7eEG7tc{P0V3HO7KJ+N0y!~)-)YMs@!^T`D9Qef zr|}iFQSpTB{H4c^>bZYXuj4;emeXs=?i>Hv%Q`=K3p>$QJpK!1+a;GtB)_fLdj%tw z!NS@oMeO}H9cLPkcYQ0j>{!ZK_0{Cc8*=fj2Yd@|}7eQW{Sc3nqgF1I&YqycmLdkA5%UQ|Cv}8%6 z6X)z;c3g~i0SVfL7o@>n3SyHz;lLvP%0ZkekKSrbw|mg39+0qBvis61xjZhSUeOhY z`Fi8EO?R!+Pu*4-2Ut&AZ;;|+GF@65&x6YgaNJMTa2CCKR-VPQ?liUU!Y*Wm?FUo0 zVaby*mEpL`XbkEgc3XvIdYIO{%?3u~yE^98la@TLWg3x~tXe0Xxae0jXsG@za`|UI z`Xmw8Ir?li%d^Js&v_JCXnxPcd;1%L6d<>?^?&Tm_=#5ELQ_UyFX^$U4Q$wp@F%T3 zeU^&Vj&aNtE&V<9M!H*_eSUD2$k>w{s`C7-zbZ7l}rBZKzh1D=yCLIy2$Hl9@7^z_iLW!cCEKe8!q@uEZQ!cHmu}MQSSocJBQruW12pMeB466%RtadtWQo-bc1Va zuovxV{3y5*JAwz#%+31$p6q*aQmKA`D6w1n3naBEiH)N=Y|abY_rSz0wBj?q#rLU4 zco(_l-^#qd{!r>&=olPnqteY{v!7$R@1a#0>B1@3eMdvXr&!M4UPi0-(~5)g$i-sZ zOG3Mm=%FxknLO5M`LF5a8o4gOG+(6)ozY((k3WSCie=5W2Zo(Our}&;zw#QZ0RON}1nQ-YapNF?!rQI(%rE z*DNhNTidRMj$3${ze3t{B&#W&^fW1*L89LG8iE^7#=<)C%L?%ve#P|`YTthPb+q=0 z=Tg0oug@V=ov3jl;9T)_thfZ^HCG%6FHeF$>??(HI8S z#4v7EuO3p2!)oTj%B6{g-7NNZ9AiF_CM({RMReikWS+_~Iyjle_mWdt7VIiGng!D> z0arTesgC{`>3AQe&DTP66T9*O?cJnYKd_!#l`W!vck0ywaO5g6j`P|lA_O_**_QQr zT@KS}{$e?-Q=51X{oj)?zt6PHSS5~q8N1N!D)8hOjhIbqhZ<#CgtdqF6?>yqgm(AC z{pE7_#BQRy*zT=LvQfEaBsTLty>*^G#Lj5lXh~a=+1b&N{p><3-XR<5<C<7m$gf_l9{qUj$Ve-U^hCl-H%v4Ey< zZwehe&y%c=!@t13t-w=O(VV#?djTnVfxfjSfjQWM6XakM@9kr;q<10k8)7$;pv3|* zu^0o{P7~umUY=Nj%gVM}?YGmyP2@eS@+)#{ zi4Uh`FOAb!n^yv6E#bGYibRqMJu}E*Z5+ zAzJpZSl6qpQS7dpBHC7lgx(5qZ-ftRp-k)&S6t}oC^7pBK_ei;bXZtJ$6%tb3tCH{a z*p8Tk(NB41Lh0|_{c}0>cklLes+G^Ke!b?}5&Vg{kZ6IruaZ8V##KOEWQUes#ZCuX zi#XT^BxpXF{~9CQr02dN$A^`?IFz^pYkO9$hd_>zK4-b}3rgBnohs6~V@XfE1^c_< z-fc-{Ieu+^sM(5~#O$4iNNQh^s!!#8Qfrh~ipoikcGIg()hNYW*#!v?%!B>>2)QTN zVgChV$xoRP(qGKCzmbQfqGijBn*EjtZJTdDOQ!~-b+cB&Dyz7ryvu)b$e1c0yX+w-a zKLq#7Up~Vsy<)9Uqzh;tVsDTDl8l6XDo>0!j~deP|(&>$bgyNzsgVeQ-E%*`QU zTJuR})2TKh%@=9WXWC;mpYjJeoKlXGTD&K{cvlWP#W`}5haPO?3evQe#XErMq~bWg zvk9wliN_NTwoh+wAP*lWQPThUoStyq6F5_SeEoTnwgM+gFP79gSX-24H_kwrEtvdF+OSN`cF?h6km6AqJInpD zyQZqEYr20qbLE2RM7;DPeCieW^K>%jD73IL+`U%GP9)yaRv3Fi8T0bt?qr=OyX$d$ zw5<7ZRYd|br<{f=i}ch;_PZ&)$%AtrP@ml_*q7RQDSU}|_FDEJ4V`M>UgHwpewrj* ztEX@0{Wg?i-W2OE#PQyNEl-p6+OQ?JU1-BAnMamqi~dhYe1cEZFD(pf4ud9QY-f4m z`7pTRb^<>mk5$^PulQ0ae(pbz{2ML2ls*h&$AVMd$FenJQQNT^GfCAY;}7>~fxhnB z7fXK;cHRYRbLx$K(C~;pT0@e*P?uREqrKR&s?hBZ{@705;%{c~opW3V?>ZZ)`T_mrk8VF-q{nlY9|)0 zuDJnq;6`ajQGTXCDV;w~(=*89KfdKbtZyYRaTyFa4j0SQ|4yuFA0>U2HSfhf-tVqi z;n)F@r;Ys7-;_6p64a4rA$k6pSmK@jT>+iui&5>tb<1m`X=;8NlKsn<+fJw7WThLi z*uNU5UCrO!;+j8|~Cfr1x=?LFn zatvoJ@1fH-V`$g#vQo)#RvnN9D~PACl))~#ll}K_{hyL3>vd+c++>zkJ$^tjvE(ys z_!5{fie%j(TY; zH0{ccpX|pGV{iXA_&e`u>q$JQY5F-@J7No2wDqlYZICvJUFTyaUtcz(o$}Xq&#Z~> zw+rfgNzZtV_4Qf`uTPFu7SG8j1ZoKD~EkM2t&6djCr0EuSyv@ zzLdtV@&69|_y@gqiUj^fT7K5MpX<{V{$J`?0yn>Q^?rD>mxP|dEFyB9j!zqrt*!oB z?V3*@(q7v5yZXda3I4+KH#@(Ue2u~DTanx*WPO0rZjeVqJv0b2oZ~gq5p&Wa2A46J zZ@N>OBXWtES}EH9Do1v^@1BBu3;!SUeo(WtdZ`}E`<5Qqf$?o+cVpi8Ct7lhnEwAr zUw<-jr$}v#>@_CG6-2Vbm)^>Lo>rF%Y)Z!@e!i4s92b|!!sq=%RDZLaw(x{Mf$)o= z=DYaqWU||vO=v_u3pjF-vYTOgBjtYr_xlKb$EaThPkG3ZQigQhz_-kna#_iKB4Nu( z%tz$;6_&0oue}M0sz=%yd)-W~!fWfUMlZSNr^<6iP7(jCW!}oIu>5)`{+D{js96j5 zjpxVh#X)}7d;jS3Tw>a#6S_%*JW!@@@(ed zPml6Xo)dY0iEb|>HG9b1w?2cZ^usO(=*y{e|Clj{B3kkhI6hQ6kB8}<^u-0TFqwyQ zzi3|xKJz8f^ON{e4t%u+p4Sj=g@00=Ulq@3sK~pi4#ys2IbNd~`)Gfb#5$fPn+sTz zIuP!hSwb7}(q%AsmHhs+ck?Y6Z+9rxRh~`YcRlje88Y^w12IZ`fNZ44NOIGjuo81% zbqllA{^q^MsNiv)S#I}kp{`>S&in=K+C(dZxy&H<%SiMWq&_%i8f7X9i}K*E=bT&0 zS`N}$?O3`l>h%;)GiG)lCQqfLYoRye`Q4>N6Cz4hTx%8dirHZ$e9Osm`H$}Jz-zwH zwnHI8A9Wc*JEqgO6Z-OcUReVY(n-IyrW?)Frj#76Q=?1faRpLdg}YrP!cbG5G4t&L z>|UZa3u$=xs+;Iz6}|tYo?b;mwuw+2BGa2`-4qhk3sSb{$+V%3Z7|?Yw4^oZsj8HP zSoXC1tC&+zQd};cg0qC=95>Qc7%w?Nf|irRY4rF}BO>?6p*wAhIMhZFq@7sN_5_*x z(Z1U;+*+jZR!n&aDO`x}fzJ=(Pv|Ez-)U&8L1W{OYtoRNt}h2z=)m}?CY3!b%Av{mnNRjQjE4XGF$c;rFz2H^gUACggsprQ9F(0e#T0CO8de~-lUBV zh=l&@>NGH;u$tB|_R;{mZ7=^@93_*dQ2wW$zq)2IKKPLc<`QM!{%kO>16k?j)-Jq1kOOIbN{8dtWm@C-C{^+pT^&t~Aw1(hENQ$z^8V$k{$UP7 z`jqpeFfBPvN9Hq_m6(H`#|U2aWFLqd%_pg8?NhAhdDjp zz)L7kuUnDhN6gQNr(%uq448iW#eV$ShkOpFW3%~gKk!j^*b|;zQPJP66Ys=wp zy1J8|ZA*0Ra~$Ct-=81_t2jF(uSHsZy>to!3w^KqZG5cnwjxr_aoqi09K_fgP( zJS#Ofu_B9b{}ps?i5`#V3w9taB}H~lC-c4Id2=z-;}ls>!=7GCj&31UF_PES{p>1D zhZ>NjdwjMux)rO<+W2)ht9mPcCYO2Hzp$Fi%&DH4j73jnMZXaj{3X$!9Bgw|bEI+3%}-!F|tcW-u_@oe-%mE=XKO|nM6*D z@s7%f!`#LuSE2nO^Ea{3@uZ|EQ!D>G?RWxDctLrasZn()izZmn*ywU2b?KoM$MS@N zmrQ{vW3+EC7!ptO3%_fS>xbZ$leJr{5nljVCeWine88^$?;wY#<==yM_73e@NS{8_ zAD{7Z-=lF8q+bH|dnMa-hRDE}R5y@_o7D(;h?WJbjQPJE#e z?vWQtr(?gbu#2hW?LCZO4Oz_We9W1>QI4fW3-ZV}11^!u&pE5a*F*YJa=44lZbj-F zx@U}DMHGJ{h7<9MgSckci}ToKFq#7ROpFd(!ag>s>2Gq&!<(xkpQbp>J$Paxw!gCW zO(Sl#TMqH8u)|s)w>E4*n>y37yG4NSlsedTdA>kJT<0n+oJ)x-VL$b-hjP9bSHeO{ zZ4VT-=#+@!MJ2y%Hhok4shrf(xfYcctwTK=f$ zKqjqOQ+b}o5?;jSk-y*Bd)c<0IL-|B77lUc0K9Ryvc4;>Fo#W8gI9g!eId+WqJ2N||0JyOe`+8>PV4ie)Y;g-^6X+= zM=dFIe2tqHUXJa{6RW$*~8j&aib=8Akvs@Bu`c$VQG`mvzvVwOaC zJ^wd6h}kFI@SVmmzOtho*?{Lo zJC|aug=o<)Cx;6^G#l>Vfn{6;z)L^ zAKUbl_8iQby^WQxqwRa(>u#Sv;@WFnwS-0a0(zfH#+vHTq8Md<&ifOrPk4LRK+j`I zZ>)CpueAFrXEG$d<_&PTBn&E==x<3V(?SmIvCCexYcys#7i)^O3}g6PF~_SJ>rq1g zmxTe>(erxFG=zZzY3@jx__A*?lcYbL9H1nFoqdfz`i|FPI=M(*Q)t~JvieSPhnJ=6 zM{@_#+E?87Ilp@;eGm70$a^23-C)LZj^3{QA5C7I%&OZ&6Q;wsamk#OcFJ9st!xQT z8pFDl%GH)s-@|U)37M;?XK@xip5T2Bex?!s3)_;1b<62JJNxxF^xvg@*J$mJF^d^& z>s%aUIZgOU+#%xmhs9%#=z(3>;XZ6&J-fNf?}*0zsC|E8y}xvPj{Pl>&s*5TT(2oS zfVs{Ep9yOlBh0(?TiD*!a)~vuEB!ZFs>SZOP$`y6zuZ5wlw&+Oc%DxYaqyQDFXH_~ z9=3ZwBd>@z?3UAN>EFVyCdlc-gdau3V-mTFr(eG0`aW1zds5p`grgHi^^&Mi#Mr+l zQ9p?W?s4oSRo^IO%te@|R1=k8raZ5Va&E*;X8R{*tW5Ub#AMFj1e*Up=~iJ$F%Rkj zE0RUa=3$@nkd-SV{%6$qsFwPc_p=u_3t2wtOw21giC-LMga0I@@$Fxh_`F$}1z=9B zWotzOZzFLxVHWxDgIHU!RV4CTJ+M!i!*->SIwBm^p;Zpn@*g=zv@wO$=Vu%1xV|gt ze2JX(CwWh?mUlRxjdhLjjuf%*)3{W2SXYkz+{zBd6R#ThRhzyPAwMy{B4T7k*t%QE zS_7r4fy)H9_$}%GHQIg=ja@-zE~!s?cE2C?+3M1tZvMc*yH?4 zpIy6MRPKNFh=jyG} zAJMvNMZH$*n~(MOEWP<2-W)#YRuX+!j?pvUljt?huY@?$@aw@m`+->U7(G1(Q=UWy z28#xS91Rlt?c-NGF>nD1U*)Q;Bq-$2&O)R(W^qhbjydFF0u2a>`PBJ&BxN#edxAI9 zlJ#is+hFC1v7ZwOk`;hR!FNu`ZN2OMN1{jbt`@4@7Wv1{5_$D<9<|S*{yWLQM%S+) zzgzuxHNF;Wp~63YI>C}VoGD7zV@6-J#37?8X)(1%(4h-?=mTxKVzccMX($IP%96D^ z;Ni1k*mKz3wO+H}O)t!|rn04FA&=<6;IUQ6NW^76g-Oe$dL7G%Jsct~7ECHunvH;X zYs~}u%_!S;7JGqI9sHNmy3Yf8Y9kA9&}^8R&JNB5M_eHc! zQ}qglvl0tf0;6BUA7c(is`lCiK^8%v-E^UZ`i+(HbMd0jr5+=8a33AGQ9hYzNFnkY zb36vZ#D>BTf->>mSrUgS9{>ZFP&9rIYF$={ZYQ55v(M za&9F5n`p(ge3ktqZjGMrqwgMtH4p2>QRHWJf@xvXJ|m6ONmp3AXGztw5tCIK&bzE6idxi(u%GqY*q7f^1n|~WQy0FvXdEA$<>L+~foaFH+NghDz2e7Ae z$=GGV&preG_{O+x@tdPqMeeT|16EFUBT! ziZdP;Svn%hypt7OJCn&Ce0Ai9(KH+@6RW(<336<7Asv~%w-MP zUX8J?@;(_7x21zM)v_w@p``bapvH9XJ~e6MpIg0(`0qxTTv7|wX5AmqTJa?1{`B)f zHNTZEr{m}T$(|h2x}i_M(C|RYOf(cN_wNa^yff*o&H6deaTmNe%DlYG8LVq-Sg;AHMw z5AoEPLEH+`#faHcB(SGgQZILSnZ%DG-NVH+0&QQA;&B@DqW^|F-%oy{r5aAodrQ$L zNzvc`v2#$Yr+dU`%24GTrVMW@!Aw^SSK1{sVlIhYOWzjLfZ!A#`Y%?kzT$XI-ouol zkI$!_{n&pWU?|HR!6y!A%U>Ml@S5Xv;-EJAT3h|XKaPc^K#L+Ury}Vt>wCmsO7i<+u5e>W zQ(doLnaftk`IyC6jFpU$!}EOgG;l8)eJd?>Et=E}YClHa`{9fONnaaU6Vg@1ds#;{ z$IWD{8boWFVEjF1Oy7sevOUNn$bD`?kOPQ$$hB)qz_vyI7PB~lm6q=tNB zl&}k)84RPUE3d}~t`hV4nMI1Rqwt<8DRm>c#kfo>(p_7F~(zh_}eXnmx&klN$ zT`$#8kB6m;&+GN*1$q2HHdc~}&G=IurH=M4nY3v{QnD!5dH?=EwpJ+F8nrw@KO-(z zNIh%ljgmZzh&N@##A2RXMmDy98r`OjJ+*H?sM5#LTWq8i>8O%$t{BC;pk4B4%c`tk zTdD7dsj=@tBMhwqTYU}7dBJ?J@O$#TZesy+fHIvfVZDNBTjBNVP2;YOw_2#cmWb?-QoXNHh zPNG#0)0KN+N6Z|#ODa1%vuLBFn!-zsrwb2YLmzkcMc5IMzP{cEImWS^v+%BW?YrV z|37NAv$XR(BuFoZSXq({{{F5NV?Fv|`tTiF5c7bKN*TGPrv*9ba%SlQ=YEwoyyxFo zk$s7MPAi|V4L|#J+P^W=eJvRX_7$t}kFr90u>Lbj8nNEYG&#LKJmGA3lgHh8AJn_V z_FSfiF@_#?Aq~vTNK+3b+IGbI1-V?rWlL$DTWIqwF#J}(%EF*ZaK8d6xPcajPuG^t zch+|g!kb6glb&8(w0uoS5EdZjmbTUh;Wa!0mqto85LOJpwz}(wc#mkzbNXgf(#xM< z>JyXxe2*l>{Np#pL}IPTM(jIcc&qgELb5Z)F+h)Yb#1JM@1ID@JNVLc*Ntu#;3RS)b<09JaAtVl|4fs-+-c zNs>~>ag$d=soJghRc} zD|wUOF-HDl@T_;0Wt!tXIZcQ6Gm?l(#8oECcLLt^hP;-$<2v~qR?`@d+3poPi@fie zS1`j7Y{X#K%u$;6-DeZ)u|};|Vt13|Gt%8gyZ3Nqo8@z+G&A|-p+m8vB%(+Yq#EwH zVqu<#wLR482`uut#Cmk_ekX)(4$os9U~_h+D~l2P&-Q@0!83!2#%_jhDor2n{hb-% z{IlL4;NuNVTIk6n*N8WDb8UElJ)9q=CNbjovU2poW{3Jd+%>(G{6$wpd~2Xz6a4eM z&*00?@EyBgyAS&8`B2yGB?h&LR=ToG(G81oRnhPlI=+H-*vbG29W-ZcF*EBSAz z`#!?zy_lpQrYFZq`<9lUs~2N^$~#*4U8#pA^?O$R9#_TzTK5fU7HQAL`gw!)-y!{O z?-3)M=l3^cG3KovgN?Cz;XioxM{+LafBxXV-~m5-{|jRN%R0ppj3mQSnnj&i!d@! zF;>8hU`>a?#4*rnqVLnZBFZ}fz72Br1%Bg5-(Pk-?|6Z~_W)$>0P&i`u7+A5#*kuc z^j57^6{5#VrK*^7?154j@>InI>wAy=B&#`ltFsk-mhpK#ypK`D^6Wu9*Ot(-<)nyF z$*g)N=3-q=UT4^j7`WslIu#lO|*E_@F?WVuSu;9HkFCqgmM_^T= z*X!u>SEOViosQY>yU0*T(OT~@+drbWD@oEqs4$L%hmZCuiH_0qr%3H!az2DM#C*Ny zXvh%qI3&@ZK0aeS0{Q<1jjV`^dZc7!rVibP2 z1`}0H)p8fbRkLZS9NH^pyA;8YuhG(Z6T1;>y|33x;S=3V#&5@ff_FW}=Ej~doydOp zcM%t4szsZlAHZpOSTq(s$B` zn%b=(c`eA>{x@N7=k!dB2Vd*Rj{BrzoAdeaPd4@pyBvPzB^Ec<*2Q>yS{&_&-pe4} zIXZEPl|15gfNegh$B*-aVvnO3`-mM-=9895)C zmiwGd&SEaje3BU$yPPzSaW3YbP4fF~h`fxHeeDikCzkS4mNd==x`$osM}CLUl3`^2 zJyJi+_aR9RZ?nx2P5e-~Vs!F5N3643>6quAx3Pf%v>+msVPT%9En!Fc`|nCbXY~Ku zkr-2n{SspTt~tJcqzv;NG1KH@njAa%u6DOL8|yb#IKNqmcd`v99e)`2&+-55AFC*1 z)ZwD}DLHAu4e+WSRJ)y58tkh9Y^zAtYbG&*@W=DhnX@yIa?3ep=f@aF%t(llx`N61|Fl`$DUC6bRChY;jz_S<X*CmmP5`M)@le|h*PUB~?zI#a{% z+H}6Q>*^-nNJPF`)BW51bEEf|U2$_FKQa3vu%Vosi#zh_x$N#4p47EuDaKMFVso|o z1kdPxzm~BJ zTS@}dJy~7s14rOAUOpGaf!lDlKYrM}n zNty*PCDwyXOf2ym*ydQjUWXfRd;eGtv%O+<);MQ^zmIWdu^8C`cZhX3vA4vR+8|iO ze(!sIhO~b}Mq_=(e*a~n;pa$L_*&WYO+G!6N?$UOxU2L>Ha!z-qzme`>-B5Q-iY1d zn(*y9v#Fg}kC%9vBQUgy?8+$P0i#&b_gSoW{Ejh#h$=kFvwV{MiIIVa@USkg`*jJ9UYp=%Y2~bzwBT**>s{)3 zml8B^uAH38DEC$5{v3HeOZNXrywZs9#fU=eu(T6aZPR=E91*kFO0w4a?`wT{LT~TW zzu!TsucQhq@-e$J18a+T)=2i|74k8W1)EBCrm;*j{SK*(c_8atzuvdSWGU=btkE1t zmSVo~LaA0tJ<)3z85|%s*vovI&gOA8F-CT`R|_6m%f#!eWCmwVak%z8$aXA!Ux;1;fu9jE4?jf1}jnlF^aZ zoSDLJ9>gvW_Zov~H{~jM43lT4B95*~;o1)aJ1-VyrUC0I^~YWOg!;k6v60zhbTCb^1B1<>>@X m!s6t1rX+1D0Pl)P5%US^=;Jny==b|cR?IA{oybmD;Qs>=hypVJ diff --git a/platformio.ini b/platformio.ini index 246f2b0..db1f4b4 100644 --- a/platformio.ini +++ b/platformio.ini @@ -15,7 +15,8 @@ board = heltec_wifi_lora_32 board_f_cpu = 240000000L board_f_flash = 80000000L framework = arduino -build_flags = -DLOG_LOCAL_LEVEL=ESP_LOG_VERBOSE -DCORE_DEBUG_LEVEL=ARDUHAL_LOG_LEVEL_VERBOSE -DDEBUG_INT_ALLOC_DECISIONS=1 -DCONFIG_ESP32_RTC_CLOCK_SOURCE_EXTERNAL_CRYSTAL=y +build_flags = -DLOG_LOCAL_LEVEL=ESP_LOG_VERBOSE -DCORE_DEBUG_LEVEL=ARDUHAL_LOG_LEVEL_VERBOSE -DDEBUG_INT_ALLOC_DECISIONS=1 +; -DCONFIG_ESP32_RTC_CLOCK_SOURCE_EXTERNAL_CRYSTAL=y lib_deps = ; Basecamp diff --git a/src/hardware.h b/src/hardware.h index a05bb66..b38cd81 100644 --- a/src/hardware.h +++ b/src/hardware.h @@ -6,8 +6,8 @@ // KEY_BUILTIN = 0 static constexpr uint8_t buttonPin = 0; static constexpr uint8_t sensorPin = 0; -static constexpr uint8_t rotaryPinA = 39; -static constexpr uint8_t rotaryPinB = 38; +static constexpr uint8_t rotaryPinA = 35; +static constexpr uint8_t rotaryPinB = 34; static constexpr uint8_t rotaryPinButton = 2; static constexpr uint8_t PROGMEM LED_PIN = 21; static constexpr uint8_t PROGMEM NUM_LEDS = 10; @@ -34,10 +34,10 @@ static constexpr int batteryLimit = 2800; * 3V3 O O 3V3 * GND O < 36 only input, no pullups * RX * (3) < 37 only input, no pullups - * TX * (1) < 38 ROTARY_A only input, no pullups - * RST * BUTTON < 39 ROTARY_B only input, no pullups - * 0 * KEY_BUILTIN < 34 only input, no pullups - * 22 * ? < 35 only input, no pullups + * TX * (1) < 38 only input, no pullups + * RST * BUTTON hall < 39 only input, no pullups + * 0 * KEY_BUILTIN < 34 ROTARY_B only input, no pullups + * 22 * ? < 35 ROTARY_A only input, no pullups * BME280_SDO 19 * LoRa_MISO ? * 32 * BME280_CS 23 * ? * 33 * 18 x LoRa_CS * 25 MAX98_DIN diff --git a/src/main.cpp b/src/main.cpp index c190dac..96a79a5 100644 --- a/src/main.cpp +++ b/src/main.cpp @@ -321,6 +321,14 @@ struct tm getAlarmTime() { return alarmTime; } +bool isAlarmActive(){ + time_t now; + time(&now); + double seconds = difftime(now, mktime(&alarmTime)); + if (seconds > -24*60*60 && seconds <=0) return true; + else return false; +} + void obtain_time(void) { sntp_setoperatingmode(SNTP_OPMODE_POLL); @@ -348,6 +356,7 @@ void rotation(int i, int direction, int buttonPressed) { } if (direction == 1) screen->next(); else if (direction == -1) screen->previous(); + Serial.println("rotation call returned"); } diff --git a/src/main.h b/src/main.h index 43cd262..38b2dd5 100644 --- a/src/main.h +++ b/src/main.h @@ -7,6 +7,7 @@ void suspend(uint32_t secondsToSleep); void suspend(); void setAlarmTime(struct tm time); struct tm getAlarmTime(); +bool isAlarmActive(); void loop(); void rotation(int i, int direction, int buttonPressed); void obtain_time(void); diff --git a/src/rotary.cpp b/src/rotary.cpp index 8cb51d5..637a8b8 100644 --- a/src/rotary.cpp +++ b/src/rotary.cpp @@ -28,7 +28,7 @@ bool Rotary::begin(uint8_t pinA, uint8_t pinB, uint8_t pinButton) { "encoderTask", /* String with name of task. */ 2048, /* Stack size in words. */ this, /* Parameter passed as input of the task */ - tskIDLE_PRIORITY+2, /* Priority of the task. */ + tskIDLE_PRIORITY+1, /* Priority of the task. */ &taskHandle); /* Task handle. */ attachInterrupt(digitalPinToInterrupt(pinA), doEncoder, CHANGE); @@ -47,7 +47,8 @@ void Rotary::task(void *pvParameters) { uint32_t ulNotificationValue; while(true) { ulNotificationValue = ulTaskNotifyTake( pdTRUE, portMAX_DELAY ); - delay(2); // wait until bounce settled + Serial.println("rotation task"); + delay(1); // wait until bounce settled (1 or 2 is fine) if (digitalRead(pinButton) == HIGH) { buttonPressed = true; @@ -75,8 +76,9 @@ void Rotary::task(void *pvParameters) { ulNotificationValue = ulTaskNotifyTake( pdTRUE, 0 ); // clear pending notifications - debouncePulses++; - if (debouncePulses > 3) { // update every 4 pulses +// debouncePulses++; +// if (debouncePulses > 3) { // update every 4 pulses + if (digitalRead(pinB) == HIGH && digitalRead(pinA) == HIGH) { // update everytime inbetween positions debouncePulses = 0; if (encoderPos > encoderPosOld+1) { value++; // if the value has at least changed for 2 diff --git a/src/screen.cpp b/src/screen.cpp index 3a9c192..efefbb6 100644 --- a/src/screen.cpp +++ b/src/screen.cpp @@ -255,6 +255,9 @@ void MainScreen::draw() { u8g2.drawStr(0, 20, timeStr); u8g2.setFont(u8g2_font_profont12_mf); // choose a suitable font u8g2.drawUTF8(0, 30, weatherStr); + + if (isAlarmActive()) u8g2.drawUTF8(110,42, "A"); + u8g2.setFont(u8g2_font_prospero_bold_nbp_tf); // choose a suitable font u8g2_uint_t width = u8g2.getUTF8Width(titleStr); // calculate the pixel width of the text